WO2008112673A2 - Dynamic temperature backside gas control for improved within-substrate processing uniformity - Google Patents

Dynamic temperature backside gas control for improved within-substrate processing uniformity Download PDF

Info

Publication number
WO2008112673A2
WO2008112673A2 PCT/US2008/056478 US2008056478W WO2008112673A2 WO 2008112673 A2 WO2008112673 A2 WO 2008112673A2 US 2008056478 W US2008056478 W US 2008056478W WO 2008112673 A2 WO2008112673 A2 WO 2008112673A2
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
ports
gas
backside
areas
Prior art date
Application number
PCT/US2008/056478
Other languages
French (fr)
Other versions
WO2008112673A3 (en
Inventor
Radha Sundararajan
Lee Chen
Merritt Funk
Original Assignee
Tokyo Electron Limited
Tokyo Electron America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/684,818 external-priority patent/US7674636B2/en
Priority claimed from US11/684,957 external-priority patent/US7576018B2/en
Application filed by Tokyo Electron Limited, Tokyo Electron America, Inc. filed Critical Tokyo Electron Limited
Priority to CN2008800158060A priority Critical patent/CN101681870B/en
Priority to JP2009553719A priority patent/JP2010521820A/en
Priority to KR1020097021283A priority patent/KR101526615B1/en
Publication of WO2008112673A2 publication Critical patent/WO2008112673A2/en
Publication of WO2008112673A3 publication Critical patent/WO2008112673A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Definitions

  • This invention relates to the control of process uniformity across a substrate.
  • the invention particularly relates to the control of the temperature and deformation of a substrate during the processing of the substrate, and adjustment of the gas pressure on the backside of the substrate.
  • a reduction of variations in the CD, as well as variations in profile and side-wall angle (SWA), across the substrate can affect the uniform yield of high performance devices (i.e., speed, power consumption, etc.).
  • depositions systems are required to deposit thin films of uniform thickness over both flat surfaces, such as the flat- field and the bottoms of structures, but also along the side- walls of high-aspect-ratio structures.
  • patterning and etching systems are utilized to perform pattern etching, which comprises applying a thin layer of radiation-sensitive material, such as photoresist, to an upper surface of a substrate, patterning this thin layer of radiation-sensitive material using photolithography to form a pattern therein, and transferring the pattern to the underlying material film using an etching process.
  • a thin layer of radiation-sensitive material such as photoresist
  • the patterning of the radiation-sensitive material generally involves coating an upper surface of the substrate with a thin film of radiation-sensitive material (e.g., "photo-resist"), and then exposing the radiation-sensitive material to a geometric pattern of electro-magnetic (EM) radiation using, for example, a photolithography system, followed by the removal of the irradiated regions of the radiation-sensitive material (as in the case of positive photo-resist), or non-irradiated regions (as in the case of negative photo-resist) using a developing solvent. Thereafter, the pattern formed in the thin film of radiation-sensitive material is transferred to the underlying layers using an etching process, such as a dry plasma etching process.
  • a thin film of radiation-sensitive material e.g., "photo-resist”
  • EM electro-magnetic
  • the plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer as described above, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is adjusted to achieve an ambient process pressure.
  • an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is adjusted to achieve an ambient process pressure.
  • plasma is formed when a fraction of the gas species present are ionized by electrons heated in the presence of an alternating electric field which is created via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • RF radio frequency
  • ECR electron cyclotron resonance
  • the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry.
  • selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, etc.) in the selected regions of the substrate.
  • substrate materials where etching is required include silicon dioxide (Si ⁇ 2 ), low-k dielectric materials
  • the uniformity of process results across the substrate are affected by spatial variations in plasma density within the process space above the substrate, typically expressed as a spatial distribution of electron density n e (r, ⁇ ), spatial variations in process chemistry (i.e., spatial distribution of chemical species), and spatial variations of the substrate temperature.
  • the residence time ⁇ (r, ⁇ ) of chemical species in the process space may be correlated with the amount of plasma dissociation occurring due to interactions between chemical constituents and energetic electrons and, hence, the residence time may be correlated with process chemistry; i.e., the greater the residence time, the greater the amount of dissociation of chemical constituents and the lesser the residence time, the lesser the dissociation of chemical constituents.
  • the uniformity of process results can include the uniformity (or non-uniformity) of the spatial distribution of a feature critical dimension (CD) across the substrate or a side-wall angle (SWA) across the substrate.
  • CD feature critical dimension
  • SWA side-wall angle
  • the etch process is affected by the substrate temperature
  • the distribution of substrate temperature can directly affect the spatial distribution of process results.
  • the spatial distribution of substrate temperature may be utilized to compensate for other process or system non-uniformities.
  • one element that affects substrate temperature is the thermal contact between the substrate and the substrate holder. For instance, when a substrate is clamped to the substrate holder, a heat transfer gas, such as helium, is introduced to the micro-space between the backside of the substrate and the topside of the substrate holder in order to improve the thermal conduction between the substrate and substrate holder. With the exception of a small leakage of heat transfer gas at the peripheral edge of the substrate, the net flow of heat transfer gas to the backside of the substrate is substantially zero.
  • a general objective of the present invention is to improve process uniformity in semiconductor manufacturing across the surfaces of substrates during processing.
  • a more particular objective of the invention is to provide process parameter control to offset non-uniform effects of process conditions to improve process uniformity across the surfaces of substrates.
  • a further objective of the invention is to provide control of temperature or temperature distribution across the surfaces of substrates during processing.
  • Another objective of the present invention is to cause deformation of a substrate during the processing of the substrate.
  • a more particular objective of the invention is to provide local flexing of a substrate by dynamic adjustment of the gas pressure on the backside of the substrate.
  • backside gas pressure is varied across the substrate holder to control substrate conditions and condition variation or uniformity across the substrate during processing.
  • one or more process parameters is varied across the substrate to improve process uniformity across the surface of a substrate that is supported on a substrate holder.
  • temperature or temperature distribution is controlled across the surface of a substrate being processed by varying the thermal conductivity between the substrate and the substrate holder.
  • gas pressure can be controlled across the back of a substrate on a substrate holder in response to pressure, temperature, or other parameter measurements across the substrate or substrate support.
  • control can be implemented automatically or interactively by a programmed controller of a processing apparatus.
  • Described embodiments of the present invention are predicated in part upon the concept that the thermal conductivity of the backside gas between the substrate and the substrate holder strongly depends upon the pressure of gas between the substrate and the substrate holder. Accordingly, embodiments of the invention are provided in which backside gas pressure is varied across the back of the substrate to facilitate a change in the thermal conductance between the substrate and substrate holder and, thus, facilitate a change in the substrate temperature.
  • a method and an apparatus can control the radial or non-radial temperature distribution across a substrate during processing.
  • substrate temperature is controlled in a way that compensates for or offsets non-uniform effects of process conditions.
  • the temperature of the substrate can be controlled in a non-uniform manner to offset the non-uniform effects of operating conditions, for example, of the effects of a non-uniform distribution of plasma density, process chemistry, process pressure, or combination thereof.
  • heat transfer gas supplied to and removed from the backside of the substrate is dynamically controlled across different zones on a substrate supporting chuck.
  • the dynamic control of the supply of heat transfer gas causes a spatial variation of the heat conduction between the chuck and the substrate across the surface of the substrate.
  • the dynamic control can adjust the effects of the backside gas to offset the effects of non-uniformities caused by other process conditions.
  • the dynamic control is particularly useful in overcoming radial and angular non-uniformity.
  • the flow of a backside gas is dynamically varied across the chuck to better control the uniformity of the processing of a substrate.
  • dynamic flow duration can, for example, be choreographed to offset effects of non-uniformity of an incoming substrate or to offset non-uniformity of a static etch process.
  • the substrate holder is divided into zones or areas. These areas may be, for example, about the size of the dies on the substrate.
  • the exact pattern shape of the zones and the number of zones can be separately determined or designed empirically based on simulations and measured uniformity results.
  • Zones can be dynamically adjusted at a pre-selected frequency, and this frequency may vary depending upon the process condition, for example.
  • Multi-zone, dynamically controlled supply and evacuation of heat transfer gas can be provided in order to compensate for non-uniformity of incoming substrates and the non-uniformity of process conditions, in order to obtain a uniform etch result of, for example, critical dimensions, etch profile, etc.
  • This invention provides an effective way for controlling the spatial distribution of temperature across the surface of a substrate.
  • the method includes the dynamic variation of the supply and evacuation of heat transfer gas to and from the backside of the substrate.
  • the method can be used with multiple-zone, temperature-controlled chucks or with single zone chucks.
  • the method can adjust the spatial distribution of substrate temperature between process steps and during a process step.
  • a multi-zone chuck in which each zone has at least one port to supply gas and at least one port to remove gas.
  • a pressure regulator is provided for performing at least one of monitoring, adjusting or controlling the gas pressure within the zones. Control is provided that can vary the gas pressure within each zone dynamically in order to adjust the spatial uniformity of process results on the surface of the substrate.
  • Gas zones can be activated across the substrate, while previously activated zones can be de-activated in a dynamic, controlled manner.
  • Each zone can be provided with an array of ports, inlet or outlet or both, that can be grouped by gas distribution manifolds or otherwise.
  • the grouping for example, can define the zones, although surface features, such as ridges, can alternatively or in addition be provided in the chuck can be used to delineate the zones.
  • the supply and removal of heat transfer gas can be initiated and then disrupted, causing the local temperature at positions with the disrupted backside gas flow to increase while causing the local temperature at positions with the initiated backside gas flow to decrease.
  • the timing or sequencing of these changes in flow by zones can produce a dynamic pressure distribution or pressure wave on the backside of the substrate that, in turn, can affect a dynamic temperature distribution or thermal wave that propagates radially, or circumferentially or otherwise, across the substrate.
  • the timing or sequencing of the supply and removal of heat transfer gas can be performed to create a pressure wave of substantially constant phase speed.
  • timing or sequencing of the supply and removal of heat transfer gas can be performed to create a pressure wave that is variable, spatially or temporally or both, in phase, speed, amplitude or otherwise.
  • Alternate methods can use combinations of systematic zones or random zones across the substrate. Moreover, multiple zones can be changed at the same time.
  • a method to cause deformation of a substrate during processing of the substrate.
  • the method comprises supporting a substrate on a substrate support in a vacuum chamber for processing; providing backside gas through inlet ports of each of a plurality of groups of ports lying in a respective plurality of areas across the substrate support to a space between the substrate support and the substrate, each of said areas of the substrate support having at least one backside gas inlet port connected to a supply of backside gas and at least one outlet port connected to a vacuum exhaust system; and separately controlling the pressure of the backside gas at different ones of the ports of the plurality to control separately, in areas around the respective ones of said ports, the local pressure force exerted on the backside of the substrate.
  • control of the pressure may include separately dynamically controlling at least one valve affecting gas flow to a port of each of said areas while separately dynamically controlling at least one other valve affecting gas flow from the remaining plurality of ports of each of said areas surrounding said port to which gas is introduced.
  • a method of locally deforming a substrate in which a deformation profile for a substrate during processing is determined.
  • the substrate is supported on a substrate support in a vacuum chamber for processing, and backside is provided gas through each of a plurality of groups of inlet ports lying in a respective plurality of areas across the substrate support to a space between the substrate support and the substrate.
  • Each of said areas of the substrate support has at least one backside gas inlet port connected to a supply of backside gas and at least one outlet port connected to a vacuum exhaust system.
  • the pressure of the backside gas is separately controlled at different ones of the ports of the plurality to control separately, in areas around the respective ones of said ports, the local pressure force exerted on the backside of the substrate, to achieve the predetermined deformation profile of the substrate.
  • the pressure profile is predetermining to produce the predetermined deformation profile and the pressure of the backside gas is separately controlled in accordance with the predetermined pressure profile.
  • deformation of the substrate is sensed during processing; and the pressure of the backside gas is separately controlled in response to the sensed deformation of the substrate.
  • Fig. 1 is a diagram of an upper surface of a substrate supporting chuck sub-divided into multiple controlled backside gas zones according to one embodiment of the invention.
  • Fig. 2 is a diagram similar of an upper surface of a substrate supporting chuck sub-divided into an alternative pattern according to another embodiment of the invention.
  • FIG. 3 is a simplified diagram of a plasma processing system having a substrate supporting chuck.
  • Figs. 4 A through 4C illustrate a method of dynamically altering the pressure on the backside of the substrate at one or more zones.
  • Figs. 5A and 5B illustrate an effect of local deformation of a substrate according to different embodiments.
  • the substrate processing system can comprise a plasma processing system configured to treat a substrate with plasma.
  • the substrate processing system can comprise a non-plasma processing system configured to treat a substrate.
  • the substrate processing system may comprise an etching system that utilizes plasma to facilitate a dry etching process during semiconductor manufacturing. Examples of an etching system are provided in U.S. Patent No. 6,492,612 and PCT Publication WO 02/086957; each of which are hereby expressly incorporated herein by reference. Nonetheless, the invention can be used for improving uniformity in deposition systems or in other processing systems.
  • the substrate processing system may include a thermal treatment module in a photoresist coating system such as a track system; a vapor deposition system such as a chemical vapor deposition (CVD) system, a plasma enhanced CVD (PECVD) system, an atomic layer deposition (ALD) system, a plasma enhanced ALD (PEALD) system, a physical vapor deposition (PVD) system or an ionized PVD (iPVD) system; a dry plasma etching system; a dry non-plasma etching system; a dry cleaning system; an ashing system; a chemical oxide removal (COR) system; or a single substrate thermal processing system configured to thermally treat a substrate.
  • a vapor deposition system such as a chemical vapor deposition (CVD) system, a plasma enhanced CVD (PECVD) system, an atomic layer deposition (ALD) system, a plasma enhanced ALD (PEALD) system, a physical vapor deposition (PVD) system or an
  • the substrate processing system may be configured to process a substrate of arbitrary shape.
  • a typical substrate may be a circular wafer of various diameters including, but not limited 200 millimeters (mm), 300 mm, 450 mm, or larger.
  • the substrate may be a semiconductor substrate, such as a silicon substrate or SiGe substrate, or a silicon-on-insulator (SOI) substrate.
  • the substrate may have a rectangular shape, such as a flat panel or liquid crystal display (LCD).
  • Fig.l is a plan view of the face of a substrate support table 20 for supporting a substrate for processing in the process chamber of a substrate processing module, for example, the etch module referred to above.
  • the table 20 comprises a substrate clamping system (e.g., electrical or mechanical clamping system) to clamp the substrate to the upper surface of table 20.
  • table 20 may include an electrostatic chuck (ESC).
  • ESC electrostatic chuck
  • the clamping system provides a clamping force that is sufficiently great to overcome the opposing pressure force resulting from the supply of heat transfer gas to the backside of the substrate.
  • the clamping force may be adjustable to affect an increase or decrease in substrate contact with the table 20.
  • the table 20 comprises a table temperature control system having one or more temperature control elements.
  • the table temperature control system can include a heating system, or a cooling system, or both.
  • table 20 can include a substrate heating element or substrate cooling element (not shown) beneath the surface of the table 20.
  • the heating system or cooling system can include a re-circulating fluid flow that receives heat from table 20 and transfers heat to a heat exchanger system (not shown) when cooling, or transfers heat from the heat exchanger system to the table when heating.
  • the cooling system or heating system may include heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers located within table 20. Additionally, the heating elements or cooling elements or both can be arranged in more than one separately controlled temperature zone. As illustrated in Fig. 1 , the support table 20 may have two thermal zones, including an inner circular zone 22 and an outer annular zone 24. The temperatures of the zones 22 and 24 may be controlled by heating or cooling the support table thermal zones separately.
  • heating/cooling elements such as resistive heating elements, or thermo-electric heaters/coolers located within table 20. Additionally, the heating elements or cooling elements or both can be arranged in more than one separately controlled temperature zone. As illustrated in Fig. 1 , the support table 20 may have two thermal zones, including an inner circular zone 22 and an outer annular zone 24. The temperatures of the zones 22 and 24 may be controlled by heating or cooling the support table thermal zones separately.
  • the upper surface of the table 20 may be provided with an array of gas ports 26 through which a heat transfer gas, such as helium, can be introduced to, or removed from, the space between the backside of the substrate and the upper surface of the table 20.
  • a heat transfer gas such as helium
  • the introduction of heat transfer gas to the backside of the substrate facilitates an increase in the thermal conduction between the substrate and table 20, while removal of heat transfer gas from the backside of the substrate facilitates a decrease in the thermal conduction between the substrate and table 20.
  • the typical pressure of the heat transfer gas may be approximately 30 Torr, typically exceeding the processing pressure in the vacuum processing chamber and, hence, this difference in pressure results in a net force on the substrate outward from the surface of the support table 20.
  • this pressure force is overcome by a clamping system, for example, by an electrostatic chuck that provides an electrostatic clamping force to hold the substrate to the table 20.
  • a clamping system for example, by an electrostatic chuck that provides an electrostatic clamping force to hold the substrate to the table 20.
  • the introduction of heat transfer gas is typically maintained in a static condition between the substrate and the support surface of the table 20.
  • the gas ports 26 are arranged and grouped into zones or areas.
  • the groups of ports 26 may be arranged in a Cartesian, or grid- like, manner.
  • a rectangular array of twenty- five square zones 30 is depicted.
  • the shape of each zone or number of zones or both can be arbitrary.
  • each zone can correspond to a substrate die.
  • Each of the zones 30 includes at least one gas port through which heat transfer gas can be introduced or through which heat transfer gas can be removed.
  • each zone may have a set of thirty-six gas ports 26. At least some fraction of these gas ports 26 may be coupled to a gas supply source 28 through a zone control valve 32, as illustrated in Fig. 3. At least some fraction of these gas ports 26 within a zone 30 may be coupled to an exhaust or pumping system 29 through another control valve 32.
  • Gas ports for supplying heat transfer gas can be independent from gas ports for removing heat transfer gas, as depicted in Fig. 3. Alternatively, gas ports for supplying heat transfer gas may also be utilized for removing heat transfer gas. In such a case, at least two control valves may be utilized for each zone to alternatively either valve off flow to the exhaust and permit a flow of heat transfer gas to the substrate or valve off the flow from the gas supply source and permit flow from the substrate to the exhaust.
  • Gas ports 26 corresponding to a specific zone 30 that are configured to supply heat transfer gas to the zone can be coupled to a common gas supply manifold, while gas ports 26 corresponding to a specific zone 30 that are configured to remove heat transfer gas from the zone can be coupled to a common exhaust manifold. Additionally, the grouping of gas ports 26 can be further delineated by surface features (not shown), such as ridges, in the table 20.
  • a zone can be activated to supply heat transfer gas to the zone while a previously activated zone is de-activated to remove heat transfer gas from the zone.
  • Activation or deactivation of zones can be implemented in a dynamic, controlled manner.
  • Each control valve 32 through which heat transfer gas is supplied to the backside of the substrate includes or is used in conjunction with a pressure regulator to set the gas pressure at the specific zone.
  • the gas pressure delivered to each zone can range from several Torr to approximately 100 Torr. Additionally, for example, the gas pressure delivered to each zone can range from 10 Torr to approximately 50 Torr.
  • the gas pressure can be increased to 50 Torr, while during deactivation, the gas pressure can be decreased to approximately 10 to 30 Torr or less.
  • the supply and removal of heat transfer gas can be initiated and then disrupted, causing the local temperature at positions with the disrupted backside gas flow to increase while causing the local temperature at positions with the initiated backside gas flow to decrease.
  • the timing or sequencing of these changes in flow by zones can produce a dynamic pressure distribution or pressure wave on the backside of the substrate that, in turn, can affect a dynamic temperature distribution or thermal wave that propagates radially, or circumferentially or otherwise, across the substrate.
  • pressure wave is used herein to refer to a spatially varying pressure imposed on the backside of the substrate, and is not meant to be confused with an acoustic pressure wave in the conventional sense which is a longitudinal wave that depends upon inter-molecular collisions within a continuous gaseous atmosphere.
  • the timing or sequencing of the supply and removal of heat transfer gas can, for example, be performed to create a pressure wave of substantially constant phase speed.
  • the timing or sequencing of the supply and removal of heat transfer gas can be performed to create a pressure wave of spatially and/or temporally variable phase speed.
  • Alternate methods can use combinations of systematic zones or random zones across the substrate. Moreover, multiple zones can be changed at the same time.
  • the control valves 32 for each zone can be separately controllable by a controller 35.
  • the controller 35 can control the valves 32 in a sequence from one edge of the table 20 to the opposite edge so as to create a thermal wave across the substrate, such as in the direction of the arrow 36 in Fig. 1.
  • the controller 35 can control the valves 32 in sequence in a circumferential direction about the center of the table 20 so as to create a circumferentially propagating thermal wave that propagates about the center of the substrate, such as in the direction of the arrow 38 in Fig. 1.
  • controller 35 can control the valves 32 in sequence in a radial direction towards or away from the center of the table 20 so as to create a radially propagating thermal wave that propagates radially inward towards the center or radially outward from the center of the substrate, such as in the direction of the arrow 39 in Fig. 1.
  • Other patterns can alternatively be employed.
  • a plurality of zones 130 are provided in a grid-like arrangement.
  • One zone 132 is activated for a first duration of time, while surrounding zones 134 are de-activated.
  • the de-activation of surrounding zones 134 may include operating these zones at a reduced pressure (relative to zone 132), or it may include evacuating these zones.
  • the activated zone 132 may be de-activated, and the adjacent zone may then be activated as illustrated in the direction of operation 136 in Fig. 4 A.
  • a plurality of zones 230 are provided in a grid-like arrangement.
  • One zone 232 is activated for a first duration of time, while surrounding zones 235 are de-activated.
  • the de-activation of surrounding zones 235 may include operating these zones at a reduced pressure (relative to zone 232), or it may include evacuating these zones.
  • intermediate zones 234 may be activated at an intermediate pressure, e.g., a value between the activated zone 232 and the surrounding zones 235.
  • the activated zone 232 may be de-activated, and the adjacent zone may then be activated as illustrated in the direction of operation 236 in Fig. 4B.
  • a plurality of zones 330 are provided in a grid-like arrangement.
  • Several zones 332 are activated for a first duration of time, while surrounding zones 334 are de- activated.
  • the de- activation of surrounding zones 334 may include operating these zones at a reduced pressure (relative to the activated zones 332), or it may include evacuating these zones.
  • the activated zones 332 may be de-activated, and the adjacent zones may then be activated as illustrated in the direction of operation 336 in Fig. 4C.
  • a substrate 400 is locally deformed at site 410 where the backside pressure is activated at zone 432, while the backside pressure is de-activated or evacuated at surrounding zones 434.
  • the deformation of substrate 400 at site 410 causes tensile stresses 412 in substrate 400 at site 410, while it causes compressive stresses 414 in substrate 400 surrounding site 410.
  • dynamic stressing, including both tensile and compressive stresses, and de-stressing the substrate may affect relaxation of crystal interfaces or induce more crystal boundaries, and may even reduce crystal defects.
  • the tilting of substrate 400 at site A can affect more direct treatment of side-walls 510 of feature 500 by an impinging treating agent 512.
  • the treating agent 512 can include material for deposition on side- wall 510, or it may include ions from an etching plasma, cleaning plasma, or treating plasma.
  • the local pressure can be in excess of 50 Torr, or desirably, it may in excess of 100 Torr. Alternatively, the local pressure may be in excess of 500 Torr.
  • Table 20 can further comprise a temperature monitoring system (not shown) and one or more temperature measuring devices. Controller 35 can be coupled to the temperature monitoring system and can be configured to perform at least one of adjusting, controlling, or monitoring the temperature of the substrate, or the table 20, or both, at one or more locations.
  • the temperature measuring device can include an optical fiber thermometer, an optical pyrometer, a band-edge temperature measurement system as described in pending U.S. Patent Application 10/168544, filed on July 2, 2002, the contents of which are incorporated herein by reference in their entirety, or a thermocouple such as a K-type thermocouple.
  • optical thermometers include: an optical fiber thermometer commercially available from Advanced Energys, Inc., Model No. OR2000F; an optical fiber thermometer commercially available from Luxtron Corporation, Model No. M600; or an optical fiber thermometer commercially available from Takaoka Electric Mfg., Model No. FT- 1420.
  • a spatial map of temperature for example, or a spatial map of a process result, including for example a critical dimension, profile, side-wall angle (SWA), etch rate, deposition rate, film thickness, etc., may be utilized to dictate the control scheme for adjusting the supply of heat transfer gas to different thermal zones on the backside of the substrate.
  • SWA side-wall angle
  • etch rate etch rate
  • deposition rate deposition rate
  • film thickness etc.
  • etch rate For example, if a spatial map of the etch rate of a substrate, or a spatial map of the pattern CD of a substrate following lithography, is acquired and a relationship between the etch rate (or CD) and substrate temperature is understood (e.g., etch rate increases with higher temperature), then at least one of the gas pressure for a particular thermal zone or the time that a specific thermal zone is activated (supplied with heat transfer gas at a specific pressure) or de-activated (supplied with heat transfer gas at a reduced pressure and/or evacuated) can be adjusted accordingly.
  • thermal zones requiring a lower etch rate may be operated at higher backside pressure and/or longer time durations, whereas thermal zones requiring a greater etch rate may be operated at lower backside pressure and/or shorter time durations.
  • Controller 35 may typically include a microprocessor, a memory, and a digital input/output (I/O) port, potentially including digital/analog (D/ A) and/or A/D converters, capable of generating control voltages sufficient to communicate and activate inputs to table 20 as well as monitor outputs from table 20.
  • controller 35 can be coupled to and exchange information with control valves 32, the gas supply system 28, the pumping system 29, the clamping system (not shown), the table temperature control system (not shown), and the temperature monitoring system (not shown).
  • a program stored in the memory interacts with the components of the thermal treatment assembly according to a stored process recipe.
  • the controller 35 may also be implemented as a general purpose computer, processor, digital signal processor, etc., which causes a thermal treatment assembly to perform a portion or all of the processing steps of the invention in response to the controller 35 executing one or more sequences of one or more instructions contained in a computer readable medium.
  • the computer readable medium or memory is configured to hold instructions programmed according to the teachings of the invention and can contain data structures, tables, records, or other data described herein.
  • controller 35 may be a general purpose computer such as a DELL PRECISION WORKSTATION 640TM, available from Dell Corporation, Austin, Texas.
  • Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave, or any other medium from which a computer can read.
  • Controller 35 may be locally located relative to the table 20, or it may be remotely located relative to the table 20, connected thereto via an internet or intranet. Thus, controller 35 can exchange data with the table 20 using at least one of a direct connection, an intranet, or the internet. Controller 35 may be coupled to an intranet at a customer site (i.e., a device maker, etc.), or coupled to an intranet at a vendor site (i.e., an equipment manufacturer). Furthermore, another computer (i.e., controller, server, etc.) can access controller 35 to exchange data via at least one of a direct connection, an intranet, or the internet.
  • a customer site i.e., a device maker, etc.
  • a vendor site i.e., an equipment manufacturer
  • another computer i.e., controller, server, etc.
  • controller 35 can access controller 35 to exchange data via at least one of a direct connection, an intranet, or the internet.
  • Fig. 2 illustrates an alternative support table 20a having a concentric annular array of zones 30a, and concentric rings of gas ports 26a, grouped to a valve that controls flow to or from the ports 26a within each zone 30a, which can be operated in a manner similar to the zones 30 in Fig. 1.
  • Various zone patterns can be used. The embodiment of Fig. 1 can more easily produce the pattern represented by the arrow 36, while that of Fig. 2 can more easily produce the pattern represented by the arrow 38 or 39, although either can be controlled to approximate each or another pattern.
  • the support tables 20 or 20a are typically situated in an upwardly facing orientation at the bottom of a vacuum chamber 40, as shown in Fig. 3, to support a substrate facing a plasma source 42 located above the substrate in an upper portion of the chamber 40.
  • the plasma source 42 is a coil or antenna coupled to an RF generator 44 that produces an inductively coupled plasma in the chamber 40.
  • the plasma source 42 comprises an electrode that produces a capacitively coupled plasma.

Abstract

Control of radial or non-radial temperature distribution is controlled across a substrate during processing to compensate for non-uniform effects, including non-uniformities arising from system or process. Temperature is controlled, preferably dynamically, by flowing backside gas differently across different areas on a wafer supporting chuck (substrate support table 20, 20a) to vary heat conduction across the wafer. Ports (26, 26a) in the support table (20, 20a) are grouped, and gas to or from the groups is separately controlled by different valves (32) responsive to a controller (35) that controls gas pressure in each of the areas to spatially and preferably dynamically control wafer temperature to compensate for system and process non-uniformities. Wafer deformation is affected by separately controlling the pressure of the backside gas at different ports (26, 26a) to control the local force exerted on the backside of the substrate, by separately dynamically controlling valves (32) affecting gas flow to a port (26, 26a) and ports (26, 26a) surrounding said port (26, 26a).

Description

DYNAMIC TEMPERATURE BACKSIDE GAS CONTROL FOR IMPROVED WITHIN-SUBSTRATE PROCESSING UNIFORMITY
[0001] This invention relates to the control of process uniformity across a substrate. The invention particularly relates to the control of the temperature and deformation of a substrate during the processing of the substrate, and adjustment of the gas pressure on the backside of the substrate.
Background of the Invention
[0002] In semiconductor manufacturing, the complexity of devices formed on semiconductor substrates continues to increase at a rapid pace, while the size of features, such as transistor gates, continues to decrease well below the 93 nanometer (nm) technology node. As a result, manufacturing processes require increasingly sophisticated unit process and process integration schemes, as well as process and hardware control strategies to ensure the uniform fabrication of devices across the substrate. For example, during the fabrication of a gate electrode structure in a transistor device, patterning systems and etching systems, which facilitate the formation of the gate structure in a plurality of material films formed on the substrate, are required to achieve and preserve the gate structure critical dimension (CD) vertically within high- aspect-ratio devices as well as laterally across the substrate from device-to-device. A reduction of variations in the CD, as well as variations in profile and side-wall angle (SWA), across the substrate can affect the uniform yield of high performance devices (i.e., speed, power consumption, etc.). Furthermore, for example, during the deposition of thin conformal films over complex topography, depositions systems are required to deposit thin films of uniform thickness over both flat surfaces, such as the flat- field and the bottoms of structures, but also along the side- walls of high-aspect-ratio structures.
[0003] In material processing methodologies, patterning and etching systems are utilized to perform pattern etching, which comprises applying a thin layer of radiation-sensitive material, such as photoresist, to an upper surface of a substrate, patterning this thin layer of radiation-sensitive material using photolithography to form a pattern therein, and transferring the pattern to the underlying material film using an etching process. For example, the patterning of the radiation-sensitive material generally involves coating an upper surface of the substrate with a thin film of radiation-sensitive material (e.g., "photo-resist"), and then exposing the radiation-sensitive material to a geometric pattern of electro-magnetic (EM) radiation using, for example, a photolithography system, followed by the removal of the irradiated regions of the radiation-sensitive material (as in the case of positive photo-resist), or non-irradiated regions (as in the case of negative photo-resist) using a developing solvent. Thereafter, the pattern formed in the thin film of radiation-sensitive material is transferred to the underlying layers using an etching process, such as a dry plasma etching process.
[0004] During a dry plasma etching process, plasma and the chemistry formed in the presence of plasma are utilized to remove or etch material along fine lines or within vias or contacts patterned on a substrate. The plasma etch process generally involves positioning a semiconductor substrate with an overlying patterned, protective layer, for example a photoresist layer as described above, in a processing chamber. Once the substrate is positioned within the chamber, an ionizable, dissociative gas mixture is introduced within the chamber at a pre-specified flow rate, while a vacuum pump is adjusted to achieve an ambient process pressure. Thereafter, plasma is formed when a fraction of the gas species present are ionized by electrons heated in the presence of an alternating electric field which is created via the transfer of radio frequency (RF) power either inductively or capacitively, or microwave power using, for example, electron cyclotron resonance (ECR). Moreover, the heated electrons serve to dissociate some species of the ambient gas species and create reactant specie(s) suitable for the exposed surface etch chemistry. Once plasma is formed, selected surfaces of the substrate are etched by the plasma. The process is adjusted to achieve appropriate conditions, including an appropriate concentration of desirable reactant and ion populations to etch various features (e.g., trenches, vias, contacts, etc.) in the selected regions of the substrate. Such substrate materials where etching is required include silicon dioxide (Siθ2), low-k dielectric materials, poly-silicon, and silicon nitride.
[0005] In these plasma etching systems, the uniformity of process results across the substrate are affected by spatial variations in plasma density within the process space above the substrate, typically expressed as a spatial distribution of electron density ne(r,θ), spatial variations in process chemistry (i.e., spatial distribution of chemical species), and spatial variations of the substrate temperature. Often times, the residence time τ(r,θ) of chemical species in the process space may be correlated with the amount of plasma dissociation occurring due to interactions between chemical constituents and energetic electrons and, hence, the residence time may be correlated with process chemistry; i.e., the greater the residence time, the greater the amount of dissociation of chemical constituents and the lesser the residence time, the lesser the dissociation of chemical constituents.
[0006] During an etching process, the uniformity of process results can include the uniformity (or non-uniformity) of the spatial distribution of a feature critical dimension (CD) across the substrate or a side-wall angle (SWA) across the substrate. For example, during gate structure formation, it is desirable to achieve a uniform distribution of the gate width (at the top and bottom of the etched feature, as well as the region therebetween) across the substrate following an etching process or series of etching processes.
[0007] Because the etch process is affected by the substrate temperature, the distribution of substrate temperature can directly affect the spatial distribution of process results. Moreover, the spatial distribution of substrate temperature, if controlled, may be utilized to compensate for other process or system non-uniformities. In conventional processing systems, one element that affects substrate temperature is the thermal contact between the substrate and the substrate holder. For instance, when a substrate is clamped to the substrate holder, a heat transfer gas, such as helium, is introduced to the micro-space between the backside of the substrate and the topside of the substrate holder in order to improve the thermal conduction between the substrate and substrate holder. With the exception of a small leakage of heat transfer gas at the peripheral edge of the substrate, the net flow of heat transfer gas to the backside of the substrate is substantially zero.
[0008] Conventional substrate holders have been provided that utilize a multi-zone backside gas supply system to adjust the distribution of heat transfer gas in order to affect a radial variation in substrate temperature. For example, two-zone (center/edge) or three-zone (center/mid-radius/edge) backside gas supply systems are common, particularly in etching systems. However, these systems facilitate only static distributions of the heat transfer gas.
[0009] Since improving process uniformity in semiconductor manufacturing has always been an important goal, there remains a need for systems that improve process parameter uniformity across the surfaces of substrates during processing. Summary of the Invention
[0010] A general objective of the present invention is to improve process uniformity in semiconductor manufacturing across the surfaces of substrates during processing.
[0011] A more particular objective of the invention is to provide process parameter control to offset non-uniform effects of process conditions to improve process uniformity across the surfaces of substrates.
[0012] A further objective of the invention is to provide control of temperature or temperature distribution across the surfaces of substrates during processing.
[0013] Another objective of the present invention is to cause deformation of a substrate during the processing of the substrate.
[0014] A more particular objective of the invention is to provide local flexing of a substrate by dynamic adjustment of the gas pressure on the backside of the substrate.
[0015] According to principles of the present invention, backside gas pressure is varied across the substrate holder to control substrate conditions and condition variation or uniformity across the substrate during processing.
[0016] According to other principles of the present invention, one or more process parameters is varied across the substrate to improve process uniformity across the surface of a substrate that is supported on a substrate holder.
[0017] In accordance with certain embodiments of the invention, temperature or temperature distribution is controlled across the surface of a substrate being processed by varying the thermal conductivity between the substrate and the substrate holder.
[0018] In certain embodiments of the invention, gas pressure can be controlled across the back of a substrate on a substrate holder in response to pressure, temperature, or other parameter measurements across the substrate or substrate support. Such control can be implemented automatically or interactively by a programmed controller of a processing apparatus.
[0019] Described embodiments of the present invention are predicated in part upon the concept that the thermal conductivity of the backside gas between the substrate and the substrate holder strongly depends upon the pressure of gas between the substrate and the substrate holder. Accordingly, embodiments of the invention are provided in which backside gas pressure is varied across the back of the substrate to facilitate a change in the thermal conductance between the substrate and substrate holder and, thus, facilitate a change in the substrate temperature.
[0020] According to other embodiments of the present invention, a method and an apparatus are provided that can control the radial or non-radial temperature distribution across a substrate during processing. In certain embodiments, substrate temperature is controlled in a way that compensates for or offsets non-uniform effects of process conditions. In particular embodiments, the temperature of the substrate can be controlled in a non-uniform manner to offset the non-uniform effects of operating conditions, for example, of the effects of a non-uniform distribution of plasma density, process chemistry, process pressure, or combination thereof.
[0021] In accordance with illustrated embodiments of the invention, heat transfer gas supplied to and removed from the backside of the substrate is dynamically controlled across different zones on a substrate supporting chuck. The dynamic control of the supply of heat transfer gas causes a spatial variation of the heat conduction between the chuck and the substrate across the surface of the substrate. The dynamic control can adjust the effects of the backside gas to offset the effects of non-uniformities caused by other process conditions. The dynamic control is particularly useful in overcoming radial and angular non-uniformity.
[0022] In certain embodiments of the invention, the flow of a backside gas, for example, helium, is dynamically varied across the chuck to better control the uniformity of the processing of a substrate. Further, dynamic flow duration can, for example, be choreographed to offset effects of non-uniformity of an incoming substrate or to offset non-uniformity of a static etch process.
[0023] According to certain exemplary embodiments of the invention, the substrate holder is divided into zones or areas. These areas may be, for example, about the size of the dies on the substrate. The exact pattern shape of the zones and the number of zones can be separately determined or designed empirically based on simulations and measured uniformity results. Zones can be dynamically adjusted at a pre-selected frequency, and this frequency may vary depending upon the process condition, for example. Multi-zone, dynamically controlled supply and evacuation of heat transfer gas can be provided in order to compensate for non-uniformity of incoming substrates and the non-uniformity of process conditions, in order to obtain a uniform etch result of, for example, critical dimensions, etch profile, etc.
[0024] This invention provides an effective way for controlling the spatial distribution of temperature across the surface of a substrate. The method includes the dynamic variation of the supply and evacuation of heat transfer gas to and from the backside of the substrate. The method can be used with multiple-zone, temperature-controlled chucks or with single zone chucks. The method can adjust the spatial distribution of substrate temperature between process steps and during a process step.
[0025] In the illustrated apparatus embodiments of the invention, a multi-zone chuck is provided in which each zone has at least one port to supply gas and at least one port to remove gas. A pressure regulator is provided for performing at least one of monitoring, adjusting or controlling the gas pressure within the zones. Control is provided that can vary the gas pressure within each zone dynamically in order to adjust the spatial uniformity of process results on the surface of the substrate.
[0026] Gas zones can be activated across the substrate, while previously activated zones can be de-activated in a dynamic, controlled manner. Each zone can be provided with an array of ports, inlet or outlet or both, that can be grouped by gas distribution manifolds or otherwise. The grouping, for example, can define the zones, although surface features, such as ridges, can alternatively or in addition be provided in the chuck can be used to delineate the zones.
[0027] The supply and removal of heat transfer gas can be initiated and then disrupted, causing the local temperature at positions with the disrupted backside gas flow to increase while causing the local temperature at positions with the initiated backside gas flow to decrease. The timing or sequencing of these changes in flow by zones can produce a dynamic pressure distribution or pressure wave on the backside of the substrate that, in turn, can affect a dynamic temperature distribution or thermal wave that propagates radially, or circumferentially or otherwise, across the substrate. For example, the timing or sequencing of the supply and removal of heat transfer gas can be performed to create a pressure wave of substantially constant phase speed. Alternatively, the timing or sequencing of the supply and removal of heat transfer gas can be performed to create a pressure wave that is variable, spatially or temporally or both, in phase, speed, amplitude or otherwise. Alternate methods can use combinations of systematic zones or random zones across the substrate. Moreover, multiple zones can be changed at the same time.
[0028] According to still other embodiments of the present invention, a method is provided to cause deformation of a substrate during processing of the substrate. The method comprises supporting a substrate on a substrate support in a vacuum chamber for processing; providing backside gas through inlet ports of each of a plurality of groups of ports lying in a respective plurality of areas across the substrate support to a space between the substrate support and the substrate, each of said areas of the substrate support having at least one backside gas inlet port connected to a supply of backside gas and at least one outlet port connected to a vacuum exhaust system; and separately controlling the pressure of the backside gas at different ones of the ports of the plurality to control separately, in areas around the respective ones of said ports, the local pressure force exerted on the backside of the substrate.
[0029] In some of these other embodiments, control of the pressure may include separately dynamically controlling at least one valve affecting gas flow to a port of each of said areas while separately dynamically controlling at least one other valve affecting gas flow from the remaining plurality of ports of each of said areas surrounding said port to which gas is introduced.
[0030] In still other embodiments of the invention, a method of locally deforming a substrate is provided in which a deformation profile for a substrate during processing is determined. The substrate is supported on a substrate support in a vacuum chamber for processing, and backside is provided gas through each of a plurality of groups of inlet ports lying in a respective plurality of areas across the substrate support to a space between the substrate support and the substrate. Each of said areas of the substrate support has at least one backside gas inlet port connected to a supply of backside gas and at least one outlet port connected to a vacuum exhaust system. During processing, the pressure of the backside gas is separately controlled at different ones of the ports of the plurality to control separately, in areas around the respective ones of said ports, the local pressure force exerted on the backside of the substrate, to achieve the predetermined deformation profile of the substrate.
[0031] In still further embodiments of the invention, the pressure profile is predetermining to produce the predetermined deformation profile and the pressure of the backside gas is separately controlled in accordance with the predetermined pressure profile. In other embodiments, deformation of the substrate is sensed during processing; and the pressure of the backside gas is separately controlled in response to the sensed deformation of the substrate.
[0032] These and other objects and advantages of the present invention will be more readily apparent from the following detailed description of illustrated embodiments of the invention.
Brief Description of the Drawings
[0033] Fig. 1 is a diagram of an upper surface of a substrate supporting chuck sub-divided into multiple controlled backside gas zones according to one embodiment of the invention.
[0034] Fig. 2 is a diagram similar of an upper surface of a substrate supporting chuck sub-divided into an alternative pattern according to another embodiment of the invention.
[0035] Fig. 3 is a simplified diagram of a plasma processing system having a substrate supporting chuck.
[0036] Figs. 4 A through 4C illustrate a method of dynamically altering the pressure on the backside of the substrate at one or more zones.
[0037] Figs. 5A and 5B illustrate an effect of local deformation of a substrate according to different embodiments.
Detailed Description
[0038] In the following description, for purposes of explanation and not limitation, specific details are set forth, such as a particular geometry of the substrate processing system and descriptions of various processes. However, it should be understood that the invention may be practiced in other embodiments that depart from these specific details.
[0039] The substrate processing system can comprise a plasma processing system configured to treat a substrate with plasma. Alternatively, the substrate processing system can comprise a non-plasma processing system configured to treat a substrate. The substrate processing system may comprise an etching system that utilizes plasma to facilitate a dry etching process during semiconductor manufacturing. Examples of an etching system are provided in U.S. Patent No. 6,492,612 and PCT Publication WO 02/086957; each of which are hereby expressly incorporated herein by reference. Nonetheless, the invention can be used for improving uniformity in deposition systems or in other processing systems.
[0040] For example, the substrate processing system may include a thermal treatment module in a photoresist coating system such as a track system; a vapor deposition system such as a chemical vapor deposition (CVD) system, a plasma enhanced CVD (PECVD) system, an atomic layer deposition (ALD) system, a plasma enhanced ALD (PEALD) system, a physical vapor deposition (PVD) system or an ionized PVD (iPVD) system; a dry plasma etching system; a dry non-plasma etching system; a dry cleaning system; an ashing system; a chemical oxide removal (COR) system; or a single substrate thermal processing system configured to thermally treat a substrate.
[0041] The substrate processing system may be configured to process a substrate of arbitrary shape. A typical substrate may be a circular wafer of various diameters including, but not limited 200 millimeters (mm), 300 mm, 450 mm, or larger. Additionally, for example, the substrate may be a semiconductor substrate, such as a silicon substrate or SiGe substrate, or a silicon-on-insulator (SOI) substrate. Alternatively, for example, the substrate may have a rectangular shape, such as a flat panel or liquid crystal display (LCD).
[0042] In the drawings, where like reference numerals designate identical or corresponding parts throughout the several views, Fig.l is a plan view of the face of a substrate support table 20 for supporting a substrate for processing in the process chamber of a substrate processing module, for example, the etch module referred to above. The table 20 comprises a substrate clamping system (e.g., electrical or mechanical clamping system) to clamp the substrate to the upper surface of table 20. For example, table 20 may include an electrostatic chuck (ESC). The clamping system provides a clamping force that is sufficiently great to overcome the opposing pressure force resulting from the supply of heat transfer gas to the backside of the substrate. Furthermore, the clamping force may be adjustable to affect an increase or decrease in substrate contact with the table 20. The design and implementation of a substrate clamping system is well known to those skilled in the art of semiconductor manufacturing equipment and of mechanical and electrostatic clamping systems. [0043] The table 20 comprises a table temperature control system having one or more temperature control elements. The table temperature control system can include a heating system, or a cooling system, or both. For example, table 20 can include a substrate heating element or substrate cooling element (not shown) beneath the surface of the table 20. For instance, the heating system or cooling system can include a re-circulating fluid flow that receives heat from table 20 and transfers heat to a heat exchanger system (not shown) when cooling, or transfers heat from the heat exchanger system to the table when heating. The cooling system or heating system may include heating/cooling elements, such as resistive heating elements, or thermo-electric heaters/coolers located within table 20. Additionally, the heating elements or cooling elements or both can be arranged in more than one separately controlled temperature zone. As illustrated in Fig. 1 , the support table 20 may have two thermal zones, including an inner circular zone 22 and an outer annular zone 24. The temperatures of the zones 22 and 24 may be controlled by heating or cooling the support table thermal zones separately.
[0044] The upper surface of the table 20 may be provided with an array of gas ports 26 through which a heat transfer gas, such as helium, can be introduced to, or removed from, the space between the backside of the substrate and the upper surface of the table 20. The introduction of heat transfer gas to the backside of the substrate facilitates an increase in the thermal conduction between the substrate and table 20, while removal of heat transfer gas from the backside of the substrate facilitates a decrease in the thermal conduction between the substrate and table 20. In an etch system, the typical pressure of the heat transfer gas may be approximately 30 Torr, typically exceeding the processing pressure in the vacuum processing chamber and, hence, this difference in pressure results in a net force on the substrate outward from the surface of the support table 20. As described above, this pressure force is overcome by a clamping system, for example, by an electrostatic chuck that provides an electrostatic clamping force to hold the substrate to the table 20. In conventional systems, the introduction of heat transfer gas is typically maintained in a static condition between the substrate and the support surface of the table 20.
[0045] According to the embodiment illustrated in Fig. 1 , the gas ports 26 are arranged and grouped into zones or areas. For example, the groups of ports 26 may be arranged in a Cartesian, or grid- like, manner. As an example of such a case, a rectangular array of twenty- five square zones 30 is depicted. However, the shape of each zone or number of zones or both can be arbitrary. For instance, each zone can correspond to a substrate die.
[0046] Each of the zones 30 includes at least one gas port through which heat transfer gas can be introduced or through which heat transfer gas can be removed. For instance, as shown in Fig. 1, each zone may have a set of thirty-six gas ports 26. At least some fraction of these gas ports 26 may be coupled to a gas supply source 28 through a zone control valve 32, as illustrated in Fig. 3. At least some fraction of these gas ports 26 within a zone 30 may be coupled to an exhaust or pumping system 29 through another control valve 32. Gas ports for supplying heat transfer gas can be independent from gas ports for removing heat transfer gas, as depicted in Fig. 3. Alternatively, gas ports for supplying heat transfer gas may also be utilized for removing heat transfer gas. In such a case, at least two control valves may be utilized for each zone to alternatively either valve off flow to the exhaust and permit a flow of heat transfer gas to the substrate or valve off the flow from the gas supply source and permit flow from the substrate to the exhaust.
[0047] Gas ports 26 corresponding to a specific zone 30 that are configured to supply heat transfer gas to the zone can be coupled to a common gas supply manifold, while gas ports 26 corresponding to a specific zone 30 that are configured to remove heat transfer gas from the zone can be coupled to a common exhaust manifold. Additionally, the grouping of gas ports 26 can be further delineated by surface features (not shown), such as ridges, in the table 20.
[0048] A zone can be activated to supply heat transfer gas to the zone while a previously activated zone is de-activated to remove heat transfer gas from the zone. Activation or deactivation of zones can be implemented in a dynamic, controlled manner. Each control valve 32 through which heat transfer gas is supplied to the backside of the substrate includes or is used in conjunction with a pressure regulator to set the gas pressure at the specific zone. For example, the gas pressure delivered to each zone can range from several Torr to approximately 100 Torr. Additionally, for example, the gas pressure delivered to each zone can range from 10 Torr to approximately 50 Torr. For example, during activation of a zone, the gas pressure can be increased to 50 Torr, while during deactivation, the gas pressure can be decreased to approximately 10 to 30 Torr or less. [0049] The supply and removal of heat transfer gas can be initiated and then disrupted, causing the local temperature at positions with the disrupted backside gas flow to increase while causing the local temperature at positions with the initiated backside gas flow to decrease. The timing or sequencing of these changes in flow by zones can produce a dynamic pressure distribution or pressure wave on the backside of the substrate that, in turn, can affect a dynamic temperature distribution or thermal wave that propagates radially, or circumferentially or otherwise, across the substrate. The term "pressure wave" is used herein to refer to a spatially varying pressure imposed on the backside of the substrate, and is not meant to be confused with an acoustic pressure wave in the conventional sense which is a longitudinal wave that depends upon inter-molecular collisions within a continuous gaseous atmosphere. The timing or sequencing of the supply and removal of heat transfer gas can, for example, be performed to create a pressure wave of substantially constant phase speed. Alternatively, the timing or sequencing of the supply and removal of heat transfer gas can be performed to create a pressure wave of spatially and/or temporally variable phase speed. Alternate methods can use combinations of systematic zones or random zones across the substrate. Moreover, multiple zones can be changed at the same time.
[0050] The control valves 32 for each zone can be separately controllable by a controller 35. For example, the controller 35 can control the valves 32 in a sequence from one edge of the table 20 to the opposite edge so as to create a thermal wave across the substrate, such as in the direction of the arrow 36 in Fig. 1. Alternatively, the controller 35 can control the valves 32 in sequence in a circumferential direction about the center of the table 20 so as to create a circumferentially propagating thermal wave that propagates about the center of the substrate, such as in the direction of the arrow 38 in Fig. 1. Alternatively yet, the controller 35 can control the valves 32 in sequence in a radial direction towards or away from the center of the table 20 so as to create a radially propagating thermal wave that propagates radially inward towards the center or radially outward from the center of the substrate, such as in the direction of the arrow 39 in Fig. 1. Other patterns can alternatively be employed.
[0051] Referring now to Figs. 4A through 4C, several examples are further provided to illustrate dynamic adjustment of the backside pressure. As shown in Fig. 4A, a plurality of zones 130 are provided in a grid-like arrangement. One zone 132 is activated for a first duration of time, while surrounding zones 134 are de-activated. The de-activation of surrounding zones 134 may include operating these zones at a reduced pressure (relative to zone 132), or it may include evacuating these zones. Following the first duration of time, the activated zone 132 may be de-activated, and the adjacent zone may then be activated as illustrated in the direction of operation 136 in Fig. 4 A.
[0052] As shown in Fig. 4B, a plurality of zones 230 are provided in a grid-like arrangement. One zone 232 is activated for a first duration of time, while surrounding zones 235 are de-activated. The de-activation of surrounding zones 235 may include operating these zones at a reduced pressure (relative to zone 232), or it may include evacuating these zones. Moreover, intermediate zones 234 may be activated at an intermediate pressure, e.g., a value between the activated zone 232 and the surrounding zones 235. Following the first duration of time, the activated zone 232 may be de-activated, and the adjacent zone may then be activated as illustrated in the direction of operation 236 in Fig. 4B.
[0053] As shown in Fig. 4C, a plurality of zones 330 are provided in a grid-like arrangement. Several zones 332 are activated for a first duration of time, while surrounding zones 334 are de- activated. The de- activation of surrounding zones 334 may include operating these zones at a reduced pressure (relative to the activated zones 332), or it may include evacuating these zones. Following the first duration of time, the activated zones 332 may be de-activated, and the adjacent zones may then be activated as illustrated in the direction of operation 336 in Fig. 4C.
[0054] Referring now to Figs. 5A and 5B, several additional examples are further provided to illustrate dynamic adjustment of the backside pressure in order to cause local deformation of the substrate. As shown in Fig. 5 A, a substrate 400 is locally deformed at site 410 where the backside pressure is activated at zone 432, while the backside pressure is de-activated or evacuated at surrounding zones 434. According to one example, the deformation of substrate 400 at site 410 causes tensile stresses 412 in substrate 400 at site 410, while it causes compressive stresses 414 in substrate 400 surrounding site 410. For instance, dynamic stressing, including both tensile and compressive stresses, and de-stressing the substrate may affect relaxation of crystal interfaces or induce more crystal boundaries, and may even reduce crystal defects. According to another example, as illustrated in Fig. 5B, the tilting of substrate 400 at site A can affect more direct treatment of side-walls 510 of feature 500 by an impinging treating agent 512. For instance, the treating agent 512 can include material for deposition on side- wall 510, or it may include ions from an etching plasma, cleaning plasma, or treating plasma.
[0055] The local pressure can be in excess of 50 Torr, or desirably, it may in excess of 100 Torr. Alternatively, the local pressure may be in excess of 500 Torr.
[0056] Table 20 can further comprise a temperature monitoring system (not shown) and one or more temperature measuring devices. Controller 35 can be coupled to the temperature monitoring system and can be configured to perform at least one of adjusting, controlling, or monitoring the temperature of the substrate, or the table 20, or both, at one or more locations.
[0057] The temperature measuring device can include an optical fiber thermometer, an optical pyrometer, a band-edge temperature measurement system as described in pending U.S. Patent Application 10/168544, filed on July 2, 2002, the contents of which are incorporated herein by reference in their entirety, or a thermocouple such as a K-type thermocouple. Examples of optical thermometers include: an optical fiber thermometer commercially available from Advanced Energies, Inc., Model No. OR2000F; an optical fiber thermometer commercially available from Luxtron Corporation, Model No. M600; or an optical fiber thermometer commercially available from Takaoka Electric Mfg., Model No. FT- 1420.
[0058] A spatial map of temperature, for example, or a spatial map of a process result, including for example a critical dimension, profile, side-wall angle (SWA), etch rate, deposition rate, film thickness, etc., may be utilized to dictate the control scheme for adjusting the supply of heat transfer gas to different thermal zones on the backside of the substrate. For example, if a spatial map of the etch rate of a substrate, or a spatial map of the pattern CD of a substrate following lithography, is acquired and a relationship between the etch rate (or CD) and substrate temperature is understood (e.g., etch rate increases with higher temperature), then at least one of the gas pressure for a particular thermal zone or the time that a specific thermal zone is activated (supplied with heat transfer gas at a specific pressure) or de-activated (supplied with heat transfer gas at a reduced pressure and/or evacuated) can be adjusted accordingly. For instance, thermal zones requiring a lower etch rate (to correct for the acquired non-uniform spatial map of the etch rate) may be operated at higher backside pressure and/or longer time durations, whereas thermal zones requiring a greater etch rate may be operated at lower backside pressure and/or shorter time durations.
[0059] Controller 35 may typically include a microprocessor, a memory, and a digital input/output (I/O) port, potentially including digital/analog (D/ A) and/or A/D converters, capable of generating control voltages sufficient to communicate and activate inputs to table 20 as well as monitor outputs from table 20. As shown in Fig. 3, controller 35 can be coupled to and exchange information with control valves 32, the gas supply system 28, the pumping system 29, the clamping system (not shown), the table temperature control system (not shown), and the temperature monitoring system (not shown). A program stored in the memory interacts with the components of the thermal treatment assembly according to a stored process recipe.
[0060] The controller 35 may also be implemented as a general purpose computer, processor, digital signal processor, etc., which causes a thermal treatment assembly to perform a portion or all of the processing steps of the invention in response to the controller 35 executing one or more sequences of one or more instructions contained in a computer readable medium. The computer readable medium or memory is configured to hold instructions programmed according to the teachings of the invention and can contain data structures, tables, records, or other data described herein. One example of controller 35 may be a general purpose computer such as a DELL PRECISION WORKSTATION 640™, available from Dell Corporation, Austin, Texas. Examples of computer readable media are compact discs, hard disks, floppy disks, tape, magneto-optical disks, PROMs (EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, or any other magnetic medium, compact discs (e.g., CD-ROM), or any other optical medium, punch cards, paper tape, or other physical medium with patterns of holes, a carrier wave, or any other medium from which a computer can read.
[0061] Controller 35 may be locally located relative to the table 20, or it may be remotely located relative to the table 20, connected thereto via an internet or intranet. Thus, controller 35 can exchange data with the table 20 using at least one of a direct connection, an intranet, or the internet. Controller 35 may be coupled to an intranet at a customer site (i.e., a device maker, etc.), or coupled to an intranet at a vendor site (i.e., an equipment manufacturer). Furthermore, another computer (i.e., controller, server, etc.) can access controller 35 to exchange data via at least one of a direct connection, an intranet, or the internet.
[0062] Fig. 2 illustrates an alternative support table 20a having a concentric annular array of zones 30a, and concentric rings of gas ports 26a, grouped to a valve that controls flow to or from the ports 26a within each zone 30a, which can be operated in a manner similar to the zones 30 in Fig. 1. Various zone patterns can be used. The embodiment of Fig. 1 can more easily produce the pattern represented by the arrow 36, while that of Fig. 2 can more easily produce the pattern represented by the arrow 38 or 39, although either can be controlled to approximate each or another pattern.
[0063] The support tables 20 or 20a are typically situated in an upwardly facing orientation at the bottom of a vacuum chamber 40, as shown in Fig. 3, to support a substrate facing a plasma source 42 located above the substrate in an upper portion of the chamber 40. In this case, the plasma source 42 is a coil or antenna coupled to an RF generator 44 that produces an inductively coupled plasma in the chamber 40. Alternatively, the plasma source 42 comprises an electrode that produces a capacitively coupled plasma.
[0064] Although only certain exemplary embodiments of this invention have been described in detail above, those skilled in the art will readily appreciate that many modifications are possible in the exemplary embodiments without materially departing from the novel teachings and advantages of this invention. Accordingly, all such modifications are intended to be included within the scope of this invention.
[0065] Accordingly, what is claimed is:

Claims

1. A method of controlling process uniformity across the surface of a substrate comprising: supporting a substrate on a substrate support in a vacuum chamber for processing; providing backside gas via a plurality of ports in the substrate support to a space between the substrate support and the substrate; separately controlling the pressure of the backside gas at different ones of the ports of the plurality to control separately in areas around the respective ones of said ports the backside gas pressure variation or uniformity across the substrate during processing.
2. The method of claim 1 wherein: the controlling of the backside gas pressure includes controlling the pressure to control thermal conductivity between the substrate and the substrate support to thereby affect temperature distribution across the substrate during processing.
3. The method of claim 1 further comprising: monitoring a parameter across the substrate or the substrate and controlling of the backside gas pressure in response thereto.
4. The method of claim 1 wherein: the supporting of the substrate on the substrate support includes holding the substrate to the support with electrostatic force.
5. The method of claim 1 wherein: the providing of the backside gas includes injecting the gas through a plurality of ports of each of a plurality of groups of ports lying in a respective plurality of areas across the substrate support.
6. The method of claim 3 further comprising: separately controlling backside gas flow through the ports in the respective areas according to a sequence that dynamically affects a temperature difference across the surface of the substrate.
7. The method of claim 1 further comprising: separately controlling backside gas flow through groups of the ports in respective areas across the substrate support to affect the temperature distribution across the substrate in a way that offsets non-uniform effects on process uniformity caused by other process conditions within a processing chamber.
8. The method of claim 1 further comprising: separately dynamically varying backside gas flow through groups of the ports in respective areas across the substrate support so as to affect the temperature distribution across the substrate in a way that offsets effects of non-uniformity of an incoming wafer or non-uniformity of a static etch process.
9. The method of claim 1 wherein each of said areas of the substrate support has at least two of said ports, including at least backside gas inlet port connected to a supply of backside gas and at least one outlet port connected to a vacuum exhaust system, and wherein the method further comprises: separately dynamically controlling at least one valve affecting gas flow to or from a port of each of said areas so as to change the temperature distribution across the substrate during processing.
10. A plasma processing apparatus comprising a vacuum chamber, a substrate support in the vacuum chamber having a substrate supporting surface; a plasma source coupled to the vacuum chamber, a plurality of gas ports in the substrate support surface positioned to communicate backside gas between the substrate support surface and a substrate supported thereon for processing, a temperature controlled element in the substrate support for affecting the temperature of a substrate support; a plurality of valves each coupled to at least one of the gas ports; and a controller operable to separately control the valves so as to control the backside gas distribution across the substrate support surface to affect the thermal conductivity between the support surface and the substrate across the substrate according to the method of claim 1.
11. The method of claim 1 further comprising: controlling backside gas at said ports so as to dynamically vary the temperature of the substrate at least partially along a diameter of the substrate.
12. The method of claim 1 further comprising: controlling backside gas at said ports so as to dynamically vary the temperature of the substrate at least partially radially from the center of the substrate.
13. The method of claim 1 further comprising: controlling backside gas at said ports so as to dynamically vary the temperature of the substrate at least partially circumferentially around the center of the substrate.
14. A plasma processing apparatus comprising: a vacuum chamber; a substrate support in the vacuum chamber having a substrate supporting surface; a plasma source coupled to the vacuum chamber; a plurality of gas ports in the substrate supporting surface positioned to communicate backside gas to or from a space between the substrate supporting surface and a substrate supported thereon for processing; a temperature controlled element in the substrate support for affecting the temperature of the substrate support; a plurality of valves each coupled to different gas ports; a controller operable to separately control the valves so as to control backside gas distribution across the surface of the substrate support to affect the substrate temperature across the substrate.
15. The apparatus of claim 12 further comprising: sensors for monitoring a parameter across the substrate or the substrate support; and the controller being operable to separately control the valves in response to the sensors.
16. The apparatus of claim 12 further comprising: sensors for monitoring pressure across the substrate or the substrate support; and the controller being operable to separately control the valves in response to the sensors.
17. The apparatus of claim 12 further comprising: sensors for monitoring temperature across the substrate or the substrate support; and the controller being operable to separately control the valves in response to the sensors.
18. The apparatus of claim 12 wherein: the substrate support is an electrostatic chuck.
19. The apparatus of claim 12 wherein: the substrate support is an electrostatic chuck having a multi-zone temperature control element therein for separately controlling the temperature of each of a plurality of zones of the support surface.
20. The apparatus of claim 12 wherein: the ports are arranged in a pattern and divided into a plurality of groups, the ports of each group being disposed over a different area of the surface of the substrate support, each valve being operable to control the backside gas at a respective one of the groups to affect the thermal conductivity proximate the ports of the respective group to thereby affect the temperature distribution across a substrate on the support surface.
21. The apparatus of claim 12 further comprising: a backside gas supply; a vacuum pumping system; the substrate support surface including a plurality of areas, each having a plurality of the ports therein including at least one inlet port connected to the backside gas supply and at least one outlet port connected to the vacuum pumping system, at least one of the ports of each area being so connected through a corresponding one of the valves; the controller being operable to control each valve to control the backside gas so as to affect the local temperature of the substrate proximal e the respective area of the support surface to thereby affect the temperature distribution across a substrate on the support surface.
22. A method of locally deforming a substrate, comprising: supporting a substrate on a substrate support in a vacuum chamber for processing; providing backside gas thiough inlet potts of each of a plurality of groups of ports lying in a respective plurality of areas across the substrate support to a space between the substrate support and the substrate, each of said areas of the substrate support having at ieast one backside gas inlet port connec ted to a supply of backside gas and at least one outlet port connected to a vacuum exhaust vystem; separately controlling the pressure of the backside gas at different ones of the ports of the plurality to control separately, in areas around the respective ones of said ports, the local pressure force exerted on the backside of the substrate, by separately dynamically controlling at least one valve affecting gas How to a port of each of said areas while separately dynamically contiolling at least one other valve affecting gas How from the remaining plurality of ports of each of said areas surrounding said port to which gas is introduced.
23 The method of claim 22 v\ herein: the supporting of the subslrate on the substrate support includes holding the substrate to the support with electrostatic force
24. The method of claim 22 w herein: the pioviding of the backside gas includes injecting the gas Ihrough a plurality of ports of each of a plurality of groups of ports lying in a respective plurality of areas across the substrate support.
25. The method of claim 24 further comprising: separately controlling backside gas Ilow through the ports in the respective areas according to a sequence that dynamically affects the local pressure force exerted on the backside of the substrate. 99
26. The method of claim 22, further comprising: depositing a film on a feature on said substrate.
27. The method of claim 22, further comprising: etching a film on a feature on said substrate.
28. The method of claim 22, further comprising: treating the side-wall of a film on a feature on said substrate.
29. The method of claim 22 wherein: each of said areas of the substrate support has at least two of said ports, including at least one backside gas inlet port connected to a supply of backside gas and at least one outlet port connected to a vacuum exhaust system.
30. The method of claim 22, wherein the local pressure exerted on the backside of the substrate at one of said areas is in excess of 50 Torr.
31. The method of claim 22, wherein the local pressure exerted on the backside of the substrate at one of said areas is in excess of 100 Torr.
32. The method of claim 22, wherein the local pressure exerted on the backside of the substrate at one of said areas is in excess of 500 Torr.
33. The method of claim 22, wherein the local pressure exerted on the backside of the substrate at one of said areas causes the deformation of said substrate.
34. The method of claim 22, wherein the local pressure exerted on the backside of the substrate at one of said areas causes the formation of tensile stresses and compressive stresses in said substrate.
35. A method of locally deforming a substrate, comprising: predetermining a deformation profile for a substrate during processing; supporting a substrate on a substrate support in a vacuum chamber for processing; providing backside gas through inlet ports of each of a plurality of groups of ports lying in a respective plurality of areas across the substrate support to a space between the substrate support and the substrate, each of said areas of the substrate support having at least one backside gas inlet port connected to a supply of backside gas and at least one outlet port connected to a vacuum exhaust system; separately controlling the pressure of the backside gas at different ones of the ports of the plurality to control separately, in areas around the respective ones of said ports, the local pressure force exerted on the backside of the substrate, to achieve the predetermined deformation profile of the substrate.
36. The method of claim 35 further comprising: predetermining a pressure profile to produce the predetermined deformation profile; and separately controlling the pressure of the backside gas in accordance with the predetermined pressure profile.
37. The method of claim 35 further comprising: sensing the deformation of the substrate during processing; and separately controlling the pressure of the backside gas in response to the sensed deformation of the substrate.
38. The method of claim 35 wherein: the controlling of the pressure includes separately dynamically controlling at least one valve affecting gas flow to a port of each of said areas while separately dynamically controlling at least one other valve affecting gas flow from the remaining plurality of ports of each of said areas surrounding said port to which gas is introduced.
PCT/US2008/056478 2007-03-12 2008-03-11 Dynamic temperature backside gas control for improved within-substrate processing uniformity WO2008112673A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2008800158060A CN101681870B (en) 2007-03-12 2008-03-11 Dynamic temperature backside gas control for improved within-substrate processing uniformity
JP2009553719A JP2010521820A (en) 2007-03-12 2008-03-11 Dynamic temperature backside gas control to improve process uniformity within the substrate
KR1020097021283A KR101526615B1 (en) 2007-03-12 2008-03-11 Method of controlling process uniformity, plasma processing apparatus and method of locally deforming a substrate

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US11/684,818 US7674636B2 (en) 2007-03-12 2007-03-12 Dynamic temperature backside gas control for improved within-substrate process uniformity
US11/684,957 2007-03-12
US11/684,957 US7576018B2 (en) 2007-03-12 2007-03-12 Method for flexing a substrate during processing
US11/684,818 2007-03-12

Publications (2)

Publication Number Publication Date
WO2008112673A2 true WO2008112673A2 (en) 2008-09-18
WO2008112673A3 WO2008112673A3 (en) 2009-02-05

Family

ID=39760346

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/056478 WO2008112673A2 (en) 2007-03-12 2008-03-11 Dynamic temperature backside gas control for improved within-substrate processing uniformity

Country Status (4)

Country Link
JP (1) JP2010521820A (en)
KR (1) KR101526615B1 (en)
CN (1) CN101681870B (en)
WO (1) WO2008112673A2 (en)

Cited By (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120103596A (en) * 2009-10-21 2012-09-19 램 리써치 코포레이션 Heating plate with planar heater zones for semiconductor processing
JP2013534970A (en) * 2010-06-11 2013-09-09 東京エレクトロン株式会社 Apparatus and method for controlling chemical vapor deposition
WO2016130708A1 (en) * 2015-02-15 2016-08-18 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
WO2017024132A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
WO2019046054A1 (en) * 2017-08-29 2019-03-07 Applied Materials, Inc. Esc substrate support with chucking force control
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10568163B2 (en) 2010-10-22 2020-02-18 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
WO2020126807A1 (en) * 2018-12-21 2020-06-25 Asml Holding N.V. Reticle sub-field thermal control
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
WO2020154162A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post plasma gas injection in a separation grid
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
TWI748933B (en) * 2014-11-19 2021-12-11 美商瓦里安半導體設備公司 A system and a method for controlling a temperature of a workpiece
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
WO2024058891A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Backside deposition for wafer bow management

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102420162A (en) * 2011-04-29 2012-04-18 上海华力微电子有限公司 Design of temperature control region of electrostatic chuck
US20120309115A1 (en) * 2011-06-02 2012-12-06 Applied Materials, Inc. Apparatus and methods for supporting and controlling a substrate
CN103928368B (en) * 2014-03-20 2017-10-03 上海华力微电子有限公司 A kind of structure and method of on line real time control silicon chip back pressure
US9679749B2 (en) * 2014-09-26 2017-06-13 Lam Research Corporation Gas distribution device with actively cooled grid
US20160230269A1 (en) * 2015-02-06 2016-08-11 Applied Materials, Inc. Radially outward pad design for electrostatic chuck surface
CN104928651A (en) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 Temperature-controllable heating disc for output gas of warm flow chamber
CN104928652A (en) * 2015-04-27 2015-09-23 沈阳拓荆科技有限公司 Temperature-controllable heating disc of circularly-distributed boss surface structure
CN104862673A (en) * 2015-04-27 2015-08-26 沈阳拓荆科技有限公司 Temperature-controllable heating disc for discharging air in center
CN104988472B (en) * 2015-06-25 2018-06-26 沈阳拓荆科技有限公司 Semiconductor coated film equipment temperature-controlling system
CN106480430A (en) * 2015-08-31 2017-03-08 沈阳拓荆科技有限公司 A kind of temperature control system with heat exchanger
CN105624636B (en) * 2016-03-11 2019-07-05 京东方科技集团股份有限公司 A kind of parameter adjusting method and system of spatter film forming
CN106298453A (en) * 2016-08-31 2017-01-04 上海华力微电子有限公司 A kind of method maintaining wafer back pressure stable
GB201615114D0 (en) * 2016-09-06 2016-10-19 Spts Technologies Ltd A Method and system of monitoring and controlling deformation of a wafer substrate
KR102147174B1 (en) * 2016-11-18 2020-08-28 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, reaction tube structure and method of manufacturing semiconductor device
CN107422609A (en) * 2017-05-25 2017-12-01 昆山国显光电有限公司 The development householder method and equipment of a kind of photoetching
CN108376659B (en) * 2018-01-30 2020-08-04 上海华力微电子有限公司 Helium pressure control system and method for static electricity removal of electrostatic chuck
CN108364845B (en) * 2018-03-20 2020-05-05 武汉华星光电技术有限公司 Dry etching equipment
CN110361938B (en) * 2018-03-26 2021-03-02 上海微电子装备(集团)股份有限公司 Exposure method and manufacturing method of semiconductor device
JP7234549B2 (en) * 2018-09-12 2023-03-08 東京エレクトロン株式会社 Vacuum transfer module and vacuum transfer method
JP7198629B2 (en) * 2018-10-26 2023-01-04 日本特殊陶業株式会社 holding device
KR102650914B1 (en) * 2021-11-17 2024-03-26 주식회사 테스 Substrate processing apparatus
CN116024555B (en) * 2023-03-27 2023-07-11 长鑫存储技术有限公司 Substrate processing apparatus and method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002084710A2 (en) * 2001-04-12 2002-10-24 Mattson Technology, Inc. Systems and methods for epitaxially depositing films
US6492612B1 (en) * 1998-12-28 2002-12-10 Tokyo Electron Limited Plasma apparatus and lower electrode thereof
US20060090855A1 (en) * 2004-10-29 2006-05-04 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20060207507A1 (en) * 2005-03-17 2006-09-21 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US20070040265A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Substrate support having brazed plates and resistance heater
WO2008075340A1 (en) * 2006-12-18 2008-06-26 Camtek Ltd. A chuck and a method for supporting an object

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005079415A (en) * 2003-09-02 2005-03-24 Hitachi High-Technologies Corp Plasma processing apparatus
JP4417731B2 (en) * 2004-01-13 2010-02-17 株式会社日立ハイテクノロジーズ Plasma processing apparatus and electrostatic adsorption electrode
CN100382275C (en) * 2004-10-29 2008-04-16 东京毅力科创株式会社 Substrate mounting table, substrate processing apparatus and substrate temperature control method

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492612B1 (en) * 1998-12-28 2002-12-10 Tokyo Electron Limited Plasma apparatus and lower electrode thereof
WO2002084710A2 (en) * 2001-04-12 2002-10-24 Mattson Technology, Inc. Systems and methods for epitaxially depositing films
US20030124820A1 (en) * 2001-04-12 2003-07-03 Johnsgard Kristian E. Systems and methods for epitaxially depositing films on a semiconductor substrate
US20060090855A1 (en) * 2004-10-29 2006-05-04 Tokyo Electron Limited Substrate mounting table, substrate processing apparatus and substrate temperature control method
US20060207507A1 (en) * 2005-03-17 2006-09-21 Tokyo Electron Limited Substrate supporting member and substrate processing apparatus
US20070040265A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Substrate support having brazed plates and resistance heater
WO2008075340A1 (en) * 2006-12-18 2008-06-26 Camtek Ltd. A chuck and a method for supporting an object

Cited By (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10236193B2 (en) 2009-10-21 2019-03-19 Lam Research Corporation Substrate supports with multi-layer structure including independent operated heater zones
KR101643800B1 (en) 2009-10-21 2016-07-29 램 리써치 코포레이션 Heating plate with planar heater zones for semiconductor processing
US10720346B2 (en) 2009-10-21 2020-07-21 Lam Research Corporation Substrate support with thermal zones for semiconductor processing
KR20120103596A (en) * 2009-10-21 2012-09-19 램 리써치 코포레이션 Heating plate with planar heater zones for semiconductor processing
US9646861B2 (en) 2009-10-21 2017-05-09 Lam Research Corporation Heating plate with heating zones for substrate processing and method of use thereof
US9754800B2 (en) 2010-05-27 2017-09-05 Applied Materials, Inc. Selective etch for silicon films
JP2013534970A (en) * 2010-06-11 2013-09-09 東京エレクトロン株式会社 Apparatus and method for controlling chemical vapor deposition
US10568163B2 (en) 2010-10-22 2020-02-18 Lam Research Corporation Methods of fault detection for multiplexed heater array
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9842744B2 (en) 2011-03-14 2017-12-12 Applied Materials, Inc. Methods for etch of SiN films
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10424485B2 (en) 2013-03-01 2019-09-24 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9711366B2 (en) 2013-11-12 2017-07-18 Applied Materials, Inc. Selective etch for metal-containing materials
US9837249B2 (en) 2014-03-20 2017-12-05 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9885117B2 (en) 2014-03-31 2018-02-06 Applied Materials, Inc. Conditioned semiconductor system parts
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US9773695B2 (en) 2014-07-31 2017-09-26 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9837284B2 (en) 2014-09-25 2017-12-05 Applied Materials, Inc. Oxide etch selectivity enhancement
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
TWI748933B (en) * 2014-11-19 2021-12-11 美商瓦里安半導體設備公司 A system and a method for controlling a temperature of a workpiece
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
WO2016130708A1 (en) * 2015-02-15 2016-08-18 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
US10788759B2 (en) 2015-02-15 2020-09-29 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
US10036964B2 (en) 2015-02-15 2018-07-31 Kla-Tencor Corporation Prediction based chucking and lithography control optimization
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
WO2017024132A1 (en) * 2015-08-06 2017-02-09 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11114327B2 (en) 2017-08-29 2021-09-07 Applied Materials, Inc. ESC substrate support with chucking force control
WO2019046054A1 (en) * 2017-08-29 2019-03-07 Applied Materials, Inc. Esc substrate support with chucking force control
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11500298B2 (en) 2018-12-21 2022-11-15 Asml Holding N.V. Reticle sub-field thermal control
WO2020126807A1 (en) * 2018-12-21 2020-06-25 Asml Holding N.V. Reticle sub-field thermal control
CN113168123A (en) * 2018-12-21 2021-07-23 Asml控股股份有限公司 Reticle sub-field thermal control
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020154162A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post plasma gas injection in a separation grid
WO2024058891A1 (en) * 2022-09-16 2024-03-21 Applied Materials, Inc. Backside deposition for wafer bow management

Also Published As

Publication number Publication date
CN101681870B (en) 2011-08-17
CN101681870A (en) 2010-03-24
KR101526615B1 (en) 2015-06-05
WO2008112673A3 (en) 2009-02-05
JP2010521820A (en) 2010-06-24
KR20100015515A (en) 2010-02-12

Similar Documents

Publication Publication Date Title
WO2008112673A2 (en) Dynamic temperature backside gas control for improved within-substrate processing uniformity
US7576018B2 (en) Method for flexing a substrate during processing
US7674636B2 (en) Dynamic temperature backside gas control for improved within-substrate process uniformity
US7988813B2 (en) Dynamic control of process chemistry for improved within-substrate process uniformity
US8222574B2 (en) Temperature measurement and control of wafer support in thermal processing chamber
US8048226B2 (en) Method and system for improving deposition uniformity in a vapor deposition system
CN107365976B (en) Apparatus and method for injector-to-substrate gap control
US7230204B2 (en) Method and system for temperature control of a substrate
US10113233B2 (en) Multi-zone temperature control for semiconductor wafer
CN102593025B (en) Multi-zone temperature control for semiconductor wafer
US8715455B2 (en) Multi-zone gas distribution system for a treatment system
TWI281212B (en) Variable temperature processes for tunable electrostatic chuck
US6558508B1 (en) Processing apparatus having dielectric plates linked together by electrostatic force
JP2008509553A (en) Method and system for substrate temperature profile control
KR20170127724A (en) Plasma processing apparatus
JP2011503877A (en) Workpiece support with fluid zone for temperature control
US6403479B1 (en) Process for producing semiconductor and apparatus for production
TWI757671B (en) Heated pedestal design for improved heat transfer and temperature uniformity
US7671412B2 (en) Method and device for controlling temperature of a substrate using an internal temperature control device
JP2009074148A (en) Film deposition system
TWI780151B (en) Temperature-tuned substrate support for substrate processing systems
TW202044480A (en) Methods and apparatus for minimizing substrate backside damage

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200880015806.0

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08731873

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2009553719

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20097021283

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 08731873

Country of ref document: EP

Kind code of ref document: A2