WO2009015084A3 - Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer - Google Patents

Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer Download PDF

Info

Publication number
WO2009015084A3
WO2009015084A3 PCT/US2008/070647 US2008070647W WO2009015084A3 WO 2009015084 A3 WO2009015084 A3 WO 2009015084A3 US 2008070647 W US2008070647 W US 2008070647W WO 2009015084 A3 WO2009015084 A3 WO 2009015084A3
Authority
WO
WIPO (PCT)
Prior art keywords
die
standard reference
methods
wafer
generating
Prior art date
Application number
PCT/US2008/070647
Other languages
French (fr)
Other versions
WO2009015084A2 (en
Inventor
Kris Bhaskar
Mark Mccord
Santosh Bhattacharyya
Ardis Liang
Richard Wallingford
Hubert Altendorfer
Kais Maayah
Original Assignee
Kla Tencor Corp
Kris Bhaskar
Mark Mccord
Santosh Bhattacharyya
Ardis Liang
Richard Wallingford
Hubert Altendorfer
Kais Maayah
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kla Tencor Corp, Kris Bhaskar, Mark Mccord, Santosh Bhattacharyya, Ardis Liang, Richard Wallingford, Hubert Altendorfer, Kais Maayah filed Critical Kla Tencor Corp
Priority to KR1020147015882A priority Critical patent/KR101553866B1/en
Priority to JP2010517203A priority patent/JP5758121B2/en
Publication of WO2009015084A2 publication Critical patent/WO2009015084A2/en
Publication of WO2009015084A3 publication Critical patent/WO2009015084A3/en
Priority to IL202857A priority patent/IL202857A/en
Priority to IL227797A priority patent/IL227797A/en

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/93Detection standards; Calibrating baseline adjustment, drift correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • G06T7/001Industrial image inspection using an image reference approach
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer

Abstract

Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer are provided. One computer-implemented method for generating a standard reference die for use in a die to standard reference die inspection includes acquiring output of an inspection system for a centrally located die on a wafer and one or more dies located on the wafer. The method also includes combining the output for the centrally located die and the one or more dies based on within die positions of the output. In addition, the method includes generating the standard reference die based on results of the combining step.
PCT/US2008/070647 2007-07-20 2008-07-21 Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer WO2009015084A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020147015882A KR101553866B1 (en) 2007-07-20 2008-07-21 Methods for generating a standard reference die for use in a die to standard reference die inpection and methods for inspecting a wafer
JP2010517203A JP5758121B2 (en) 2007-07-20 2008-07-21 Method for generating a standard reference die for use in standard reference die comparison inspection and method for inspecting a wafer
IL202857A IL202857A (en) 2007-07-20 2009-12-20 Methods for generating a standard reference die for use in a die to standard reference die inspection and method for inspecting a wafer
IL227797A IL227797A (en) 2007-07-20 2013-08-05 Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US95097407P 2007-07-20 2007-07-20
US60/950,974 2007-07-20
US12/176,095 2008-07-18
US12/176,095 US7796804B2 (en) 2007-07-20 2008-07-18 Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer

Publications (2)

Publication Number Publication Date
WO2009015084A2 WO2009015084A2 (en) 2009-01-29
WO2009015084A3 true WO2009015084A3 (en) 2009-06-18

Family

ID=40002987

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/070647 WO2009015084A2 (en) 2007-07-20 2008-07-21 Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer

Country Status (5)

Country Link
US (2) US7796804B2 (en)
JP (3) JP5758121B2 (en)
KR (2) KR101471950B1 (en)
IL (2) IL202857A (en)
WO (1) WO2009015084A2 (en)

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US8041103B2 (en) * 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US8194968B2 (en) * 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US8139844B2 (en) * 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
KR101623747B1 (en) 2008-07-28 2016-05-26 케이엘에이-텐코어 코오포레이션 Computer-implemented methods, computer-readable media, and systems for classifying defects detected in a memory device area on a wafer
US8041106B2 (en) * 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
US8775101B2 (en) 2009-02-13 2014-07-08 Kla-Tencor Corp. Detecting defects on a wafer
US8204297B1 (en) 2009-02-27 2012-06-19 Kla-Tencor Corp. Methods and systems for classifying defects detected on a reticle
US8112241B2 (en) * 2009-03-13 2012-02-07 Kla-Tencor Corp. Methods and systems for generating an inspection process for a wafer
JP5275208B2 (en) * 2009-12-02 2013-08-28 株式会社東芝 Manufacturing method of semiconductor device
US8781781B2 (en) 2010-07-30 2014-07-15 Kla-Tencor Corp. Dynamic care areas
US8699784B2 (en) * 2010-08-10 2014-04-15 Camtek Ltd. Inspection recipe generation and inspection based on an inspection recipe
US9170211B2 (en) 2011-03-25 2015-10-27 Kla-Tencor Corp. Design-based inspection using repeating structures
US9087367B2 (en) 2011-09-13 2015-07-21 Kla-Tencor Corp. Determining design coordinates for wafer defects
US8750597B2 (en) 2011-11-23 2014-06-10 International Business Machines Corporation Robust inspection alignment of semiconductor inspection tools using design information
US8831334B2 (en) 2012-01-20 2014-09-09 Kla-Tencor Corp. Segmentation for wafer inspection
JP5988615B2 (en) * 2012-02-28 2016-09-07 株式会社日立ハイテクノロジーズ Semiconductor evaluation apparatus and computer program
JP5895613B2 (en) 2012-03-08 2016-03-30 東レ株式会社 Determination method, determination apparatus, determination system, and program
US8826200B2 (en) 2012-05-25 2014-09-02 Kla-Tencor Corp. Alteration for wafer inspection
US8977035B2 (en) 2012-06-13 2015-03-10 Applied Materials Israel, Ltd. System, method and computer program product for detection of defects within inspection images
US9189844B2 (en) 2012-10-15 2015-11-17 Kla-Tencor Corp. Detecting defects on a wafer using defect-specific information
US9390494B2 (en) * 2012-12-13 2016-07-12 Kla-Tencor Corporation Delta die intensity map measurement
US20140168427A1 (en) * 2012-12-18 2014-06-19 Wal-Mart Stores, Inc. Notify associates of cleanup jobs
US9053527B2 (en) 2013-01-02 2015-06-09 Kla-Tencor Corp. Detecting defects on a wafer
US9134254B2 (en) 2013-01-07 2015-09-15 Kla-Tencor Corp. Determining a position of inspection system output in design data space
US9311698B2 (en) 2013-01-09 2016-04-12 Kla-Tencor Corp. Detecting defects on a wafer using template image matching
WO2014149197A1 (en) 2013-02-01 2014-09-25 Kla-Tencor Corporation Detecting defects on a wafer using defect-specific and multi-channel information
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9008410B2 (en) 2013-03-13 2015-04-14 Kla-Tencor Corporation Single die inspection on a dark field inspection tool
US9865512B2 (en) 2013-04-08 2018-01-09 Kla-Tencor Corp. Dynamic design attributes for wafer inspection
US9098891B2 (en) * 2013-04-08 2015-08-04 Kla-Tencor Corp. Adaptive sampling for semiconductor inspection recipe creation, defect review, and metrology
US9310320B2 (en) 2013-04-15 2016-04-12 Kla-Tencor Corp. Based sampling and binning for yield critical defects
JP2014211417A (en) * 2013-04-22 2014-11-13 株式会社ニューフレアテクノロジー Pattern inspection device and pattern inspection method
US9355208B2 (en) * 2013-07-08 2016-05-31 Kla-Tencor Corp. Detecting defects on a wafer
CN104764478B (en) * 2014-01-03 2017-04-19 致茂电子股份有限公司 Crystal grain detection method
US10127652B2 (en) 2014-02-06 2018-11-13 Kla-Tencor Corp. Defect detection and classification based on attributes determined from a standard reference image
US9262821B2 (en) 2014-05-12 2016-02-16 Kla-Tencor Corp. Inspection recipe setup from reference image variation
US9816939B2 (en) 2014-07-22 2017-11-14 Kla-Tencor Corp. Virtual inspection systems with multiple modes
US9766187B2 (en) 2014-08-27 2017-09-19 Kla-Tencor Corp. Repeater detection
US9766186B2 (en) 2014-08-27 2017-09-19 Kla-Tencor Corp. Array mode repeater detection
US10444011B2 (en) * 2014-11-25 2019-10-15 Hitachi High-Technologies Corporation Sample for coordinates calibration and method for fabricating the same
US9673022B2 (en) * 2015-07-13 2017-06-06 Applied Materials Israel Ltd. Review of suspected defects using one or more reference dies
US10535131B2 (en) * 2015-11-18 2020-01-14 Kla-Tencor Corporation Systems and methods for region-adaptive defect detection
US10062156B2 (en) * 2016-02-25 2018-08-28 Kla-Tencor Corporation Method and system for detecting defects on a substrate
US10151706B1 (en) 2016-04-07 2018-12-11 Kla-Tencor Corp. Inspection for specimens with extensive die to die process variation
US9984454B2 (en) * 2016-04-22 2018-05-29 Kla-Tencor Corporation System, method and computer program product for correcting a difference image generated from a comparison of target and reference dies
KR101681517B1 (en) * 2016-07-15 2016-12-12 주식회사 엠에스비전 Method for inspect teaching state im semi-conductor equipment, device thereof, and semi-conductor equipment including the same
KR20180019872A (en) 2016-08-17 2018-02-27 삼성전자주식회사 Defect inspection method and defect inspection apparatus
US10475178B1 (en) * 2017-01-30 2019-11-12 Kla-Tencor Corporation System, method and computer program product for inspecting a wafer using a film thickness map generated for the wafer
US10600175B2 (en) 2017-03-24 2020-03-24 Kla-Tencor Corporation Dynamic care areas for defect detection
US10365232B2 (en) * 2017-05-15 2019-07-30 Kla-Tencor Corp. High accuracy of relative defect locations for repeater analysis
US11237872B2 (en) 2017-05-23 2022-02-01 Kla-Tencor Corporation Semiconductor inspection and metrology systems for distributing job among the CPUs or GPUs based on logical image processing boundaries
US10957033B2 (en) 2017-07-10 2021-03-23 Kla-Tencor Corporation Repeater defect detection
US10964014B2 (en) * 2017-10-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Defect detecting method and defect detecting system
KR102014926B1 (en) * 2017-10-31 2019-08-27 에스케이실트론 주식회사 Method for predicting thickness of oxide layer of silicon wafer
KR102427648B1 (en) 2017-11-03 2022-08-01 삼성전자주식회사 Method of inspecting defects and defects inspecting apparatus
US10572991B2 (en) * 2017-11-07 2020-02-25 Kla-Tencor Corporation System and method for aligning semiconductor device reference images and test images
US11060981B2 (en) * 2018-03-20 2021-07-13 Applied Materials Israel Ltd. Guided inspection of a semiconductor wafer based on spatial density analysis
US10557802B2 (en) 2018-05-09 2020-02-11 Kla-Tencor Corporation Capture of repeater defects on a semiconductor wafer
US20210208507A1 (en) * 2018-06-04 2021-07-08 Asml Netherlands B.V. Method for improving a process for a patterning process
TWI671838B (en) * 2018-07-17 2019-09-11 敖翔科技股份有限公司 Semiconductor fab's defect operating system and apparatus
KR20200044252A (en) * 2018-10-18 2020-04-29 삼성디스플레이 주식회사 Display apparatus inspection system, inspection method of display apparatus and display apparatus using the same
US10832396B2 (en) 2018-10-19 2020-11-10 Kla-Tencor Corp. And noise based care areas
JP2022043365A (en) * 2018-11-19 2022-03-16 株式会社日立ハイテク Inspection equipment, inspection method, and defect detection program
US11112691B2 (en) * 2019-01-16 2021-09-07 Kla Corporation Inspection system with non-circular pupil
WO2021074944A1 (en) * 2019-10-15 2021-04-22 株式会社日立ハイテク Defect inspection method and defect inspection device
US11615993B2 (en) * 2019-11-21 2023-03-28 Kla Corporation Clustering sub-care areas based on noise characteristics
JP7291663B2 (en) * 2020-04-24 2023-06-15 Towa株式会社 POSITIONING DEVICE, POSITIONING METHOD, RESIN MOLDING SYSTEM AND METHOD OF MANUFACTURING RESIN MOLDED PRODUCT
US11328411B2 (en) * 2020-05-04 2022-05-10 KLA Corp. Print check repeater defect detection
KR20220001125A (en) 2020-06-29 2022-01-05 삼성전자주식회사 Method and apparatus for detecting defects on substrate
CN114509446A (en) * 2020-10-23 2022-05-17 联华电子股份有限公司 Automatic detection method and system for chip corner defect
KR102427897B1 (en) * 2020-12-03 2022-08-02 에스케이하이닉스 주식회사 method of treating semiconductor die
CN112635346B (en) * 2020-12-08 2023-12-26 深圳中科飞测科技股份有限公司 Wafer inspection method, semiconductor inspection apparatus, and storage medium
CN113533356A (en) * 2021-09-16 2021-10-22 武汉精创电子技术有限公司 Method, device and equipment for detecting crystal grain array defects and readable storage medium
US20230351553A1 (en) * 2022-03-31 2023-11-02 Kla Corporation Shot noise reduction using frame averaging
US11921052B2 (en) 2022-03-31 2024-03-05 Kla Corporation Inspection with previous step subtraction
US11922619B2 (en) 2022-03-31 2024-03-05 Kla Corporation Context-based defect inspection
US20230400781A1 (en) * 2022-06-14 2023-12-14 Bruker Nano, Inc. Method of Analyzing Metrology Data

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000055799A1 (en) * 1999-03-17 2000-09-21 Semiconductor Technologies & Instruments, Inc. System and method for selection of a reference die
US20040057611A1 (en) * 2002-09-23 2004-03-25 Byoung-Ho Lee Method for selecting reference images, method and apparatus for inspecting patterns on wafers, and method for dividing a wafer into application regions
US20050117796A1 (en) * 2003-11-28 2005-06-02 Shigeru Matsui Pattern defect inspection method and apparatus
WO2006063268A2 (en) * 2004-12-07 2006-06-15 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle

Family Cites Families (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3495269A (en) 1966-12-19 1970-02-10 Xerox Corp Electrographic recording method and apparatus with inert gaseous discharge ionization and acceleration gaps
US3496352A (en) 1967-06-05 1970-02-17 Xerox Corp Self-cleaning corona generating apparatus
US3909602A (en) 1973-09-27 1975-09-30 California Inst Of Techn Automatic visual inspection system for microelectronics
US4015203A (en) 1975-12-31 1977-03-29 International Business Machines Corporation Contactless LSI junction leakage testing method
US4347001A (en) 1978-04-03 1982-08-31 Kla Instruments Corporation Automatic photomask inspection system and apparatus
US4247203A (en) 1978-04-03 1981-01-27 Kla Instrument Corporation Automatic photomask inspection system and apparatus
FR2473789A1 (en) 1980-01-09 1981-07-17 Ibm France TEST METHODS AND STRUCTURES FOR SEMICONDUCTOR INTEGRATED CIRCUITS FOR ELECTRICALLY DETERMINING CERTAIN TOLERANCES DURING PHOTOLITHOGRAPHIC STAGES
US4378159A (en) 1981-03-30 1983-03-29 Tencor Instruments Scanning contaminant and defect detector
US4448532A (en) 1981-03-31 1984-05-15 Kla Instruments Corporation Automatic photomask inspection method and system
US4926489A (en) 1983-03-11 1990-05-15 Kla Instruments Corporation Reticle inspection system
JPS59202573A (en) * 1983-05-04 1984-11-16 Komatsu Ltd Detection for bar-shaped object
US4579455A (en) 1983-05-09 1986-04-01 Kla Instruments Corporation Photomask inspection apparatus and method with improved defect detection
US4532650A (en) 1983-05-12 1985-07-30 Kla Instruments Corporation Photomask inspection apparatus and method using corner comparator defect detection algorithm
US4555798A (en) 1983-06-20 1985-11-26 Kla Instruments Corporation Automatic system and method for inspecting hole quality
US4578810A (en) 1983-08-08 1986-03-25 Itek Corporation System for printed circuit board defect detection
JPS6062122A (en) 1983-09-16 1985-04-10 Fujitsu Ltd Inspection of mask pattern
US4599558A (en) 1983-12-14 1986-07-08 Ibm Photovoltaic imaging for large area semiconductors
US4595289A (en) 1984-01-25 1986-06-17 At&T Bell Laboratories Inspection system utilizing dark-field illumination
JPS60263807A (en) 1984-06-12 1985-12-27 Dainippon Screen Mfg Co Ltd Instument for inspecting pattern defect of printed wiring board
US4633504A (en) 1984-06-28 1986-12-30 Kla Instruments Corporation Automatic photomask inspection system having image enhancement means
US4817123A (en) 1984-09-21 1989-03-28 Picker International Digital radiography detector resolution improvement
JPH0648380B2 (en) 1985-06-13 1994-06-22 株式会社東芝 Mask inspection method
US4734721A (en) 1985-10-04 1988-03-29 Markem Corporation Electrostatic printer utilizing dehumidified air
US4641967A (en) 1985-10-11 1987-02-10 Tencor Instruments Particle position correlator and correlation method for a surface scanner
US4928313A (en) 1985-10-25 1990-05-22 Synthetic Vision Systems, Inc. Method and system for automatically visually inspecting an article
US5046109A (en) 1986-03-12 1991-09-03 Nikon Corporation Pattern inspection apparatus
US4814829A (en) 1986-06-12 1989-03-21 Canon Kabushiki Kaisha Projection exposure apparatus
US4805123B1 (en) 1986-07-14 1998-10-13 Kla Instr Corp Automatic photomask and reticle inspection method and apparatus including improved defect detector and alignment sub-systems
JPS63122218A (en) * 1986-11-12 1988-05-26 Jeol Ltd Inspection of fine pattern
US4758094A (en) 1987-05-15 1988-07-19 Kla Instruments Corp. Process and apparatus for in-situ qualification of master patterns used in patterning systems
US4766324A (en) 1987-08-07 1988-08-23 Tencor Instruments Particle detection method including comparison between sequential scans
US4812756A (en) 1987-08-26 1989-03-14 International Business Machines Corporation Contactless technique for semicondutor wafer testing
US4845558A (en) 1987-12-03 1989-07-04 Kla Instruments Corporation Method and apparatus for detecting defects in repeated microminiature patterns
US4877326A (en) 1988-02-19 1989-10-31 Kla Instruments Corporation Method and apparatus for optical inspection of substrates
JPH01305477A (en) * 1988-06-02 1989-12-08 Hitachi Ltd Method for inspecting appearance defect
US5054097A (en) 1988-11-23 1991-10-01 Schlumberger Technologies, Inc. Methods and apparatus for alignment of images
JPH02170549A (en) * 1988-12-23 1990-07-02 Nec Corp Device for comparative inspection of wafer appearance
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
JP3707172B2 (en) 1996-01-24 2005-10-19 富士ゼロックス株式会社 Image reading device
JPH08250385A (en) * 1995-03-14 1996-09-27 Hitachi Ltd Semiconductor producing method and the system
US5189481A (en) 1991-07-26 1993-02-23 Tencor Instruments Particle detector for rough surfaces
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
DE69208413T2 (en) 1991-08-22 1996-11-14 Kla Instr Corp Device for automatic testing of photomask
ATE255902T1 (en) 1992-03-09 2003-12-15 San Diego Regional Cancer Ct AN ANTIDIOTYPIC ANTIBODY AND ITS USE IN THE DIAGNOSIS AND THERAPY OF HIV-RELATED DISEASES
US6205259B1 (en) 1992-04-09 2001-03-20 Olympus Optical Co., Ltd. Image processing apparatus
JP2667940B2 (en) 1992-04-27 1997-10-27 三菱電機株式会社 Mask inspection method and mask detection device
JP3730263B2 (en) 1992-05-27 2005-12-21 ケーエルエー・インストルメンツ・コーポレーション Apparatus and method for automatic substrate inspection using charged particle beam
JP3212389B2 (en) 1992-10-26 2001-09-25 株式会社キリンテクノシステム Inspection method for foreign substances on solids
KR100300618B1 (en) 1992-12-25 2001-11-22 오노 시게오 EXPOSURE METHOD, EXPOSURE DEVICE, AND DEVICE MANUFACTURING METHOD USING THE DEVICE
US5448053A (en) 1993-03-01 1995-09-05 Rhoads; Geoffrey B. Method and apparatus for wide field distortion-compensated imaging
US5453844A (en) 1993-07-21 1995-09-26 The University Of Rochester Image data coding and compression system utilizing controlled blurring
US5544256A (en) 1993-10-22 1996-08-06 International Business Machines Corporation Automated defect classification system
US5500607A (en) 1993-12-22 1996-03-19 International Business Machines Corporation Probe-oxide-semiconductor method and apparatus for measuring oxide charge on a semiconductor wafer
JP2971313B2 (en) * 1993-12-24 1999-11-02 株式会社東芝 Defect detection device and detection method
US5696835A (en) 1994-01-21 1997-12-09 Texas Instruments Incorporated Apparatus and method for aligning and measuring misregistration
US5553168A (en) 1994-01-21 1996-09-03 Texas Instruments Incorporated System and method for recognizing visual indicia
US5864394A (en) * 1994-06-20 1999-01-26 Kla-Tencor Corporation Surface inspection system
JP3017640B2 (en) * 1994-07-26 2000-03-13 松下電工株式会社 Semiconductor chip appearance inspection method
US5572608A (en) 1994-08-24 1996-11-05 International Business Machines Corporation Sinc filter in linear lumen space for scanner
US5608538A (en) 1994-08-24 1997-03-04 International Business Machines Corporation Scan line queuing for high performance image correction
US5528153A (en) 1994-11-07 1996-06-18 Texas Instruments Incorporated Method for non-destructive, non-contact measurement of dielectric constant of thin films
US6014461A (en) 1994-11-30 2000-01-11 Texas Instruments Incorporated Apparatus and method for automatic knowlege-based object identification
US5694478A (en) 1994-12-15 1997-12-02 Minnesota Mining And Manufacturing Company Method and apparatus for detecting and identifying microbial colonies
US5948972A (en) 1994-12-22 1999-09-07 Kla-Tencor Corporation Dual stage instrument for scanning a specimen
CA2139182A1 (en) 1994-12-28 1996-06-29 Paul Chevrette Method and system for fast microscanning
US5661408A (en) 1995-03-01 1997-08-26 Qc Solutions, Inc. Real-time in-line testing of semiconductor wafers
US5991699A (en) 1995-05-04 1999-11-23 Kla Instruments Corporation Detecting groups of defects in semiconductor feature space
TW341664B (en) 1995-05-12 1998-10-01 Ibm Photovoltaic oxide charge measurement probe technique
US5644223A (en) 1995-05-12 1997-07-01 International Business Machines Corporation Uniform density charge deposit source
US5485091A (en) 1995-05-12 1996-01-16 International Business Machines Corporation Contactless electrical thin oxide measurements
US6288780B1 (en) 1995-06-06 2001-09-11 Kla-Tencor Technologies Corp. High throughput brightfield/darkfield wafer inspection system using advanced optical techniques
US5649169A (en) 1995-06-20 1997-07-15 Advanced Micro Devices, Inc. Method and system for declustering semiconductor defect data
US5594247A (en) 1995-07-07 1997-01-14 Keithley Instruments, Inc. Apparatus and method for depositing charge on a semiconductor wafer
US5773989A (en) 1995-07-14 1998-06-30 University Of South Florida Measurement of the mobile ion concentration in the oxide layer of a semiconductor wafer
US5621519A (en) 1995-07-31 1997-04-15 Neopath, Inc. Imaging system transfer function control method and apparatus
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
EP0853856B1 (en) 1995-10-02 2004-12-22 KLA-Tencor Corporation Alignment correction prior to image sampling in inspection systems
US5754678A (en) 1996-01-17 1998-05-19 Photon Dynamics, Inc. Substrate inspection apparatus and method
JP3625236B2 (en) * 1996-01-29 2005-03-02 株式会社ルネサステクノロジ Defect inspection method for inspection pattern and semiconductor manufacturing process evaluation method
JPH09320505A (en) 1996-03-29 1997-12-12 Hitachi Ltd Electron beam type inspecting method, device therefor, manufacture of semiconductor, and its manufacturing line
US5673208A (en) 1996-04-11 1997-09-30 Micron Technology, Inc. Focus spot detection method and system
US5742658A (en) 1996-05-23 1998-04-21 Advanced Micro Devices, Inc. Apparatus and method for determining the elemental compositions and relative locations of particles on the surface of a semiconductor wafer
US6246787B1 (en) 1996-05-31 2001-06-12 Texas Instruments Incorporated System and method for knowledgebase generation and management
US6091846A (en) 1996-05-31 2000-07-18 Texas Instruments Incorporated Method and system for anomaly detection
US6205239B1 (en) 1996-05-31 2001-03-20 Texas Instruments Incorporated System and method for circuit repair
US6292582B1 (en) 1996-05-31 2001-09-18 Lin Youling Method and system for identifying defects in a semiconductor
JP3660763B2 (en) * 1996-06-26 2005-06-15 株式会社日立製作所 Inspection pattern inspection method, manufacturing process diagnosis method, and semiconductor substrate manufacturing method
US5767693A (en) 1996-09-04 1998-06-16 Smithley Instruments, Inc. Method and apparatus for measurement of mobile charges with a corona screen gun
US6076465A (en) 1996-09-20 2000-06-20 Kla-Tencor Corporation System and method for determining reticle defect printability
KR100200734B1 (en) 1996-10-10 1999-06-15 윤종용 Measuring apparatus and method of aerial image
US5866806A (en) 1996-10-11 1999-02-02 Kla-Tencor Corporation System for locating a feature of a surface
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6259960B1 (en) 1996-11-01 2001-07-10 Joel Ltd. Part-inspecting system
US5852232A (en) 1997-01-02 1998-12-22 Kla-Tencor Corporation Acoustic sensor as proximity detector
US5978501A (en) 1997-01-03 1999-11-02 International Business Machines Corporation Adaptive inspection method and system
US5955661A (en) 1997-01-06 1999-09-21 Kla-Tencor Corporation Optical profilometer combined with stylus probe measurement device
US5795685A (en) 1997-01-14 1998-08-18 International Business Machines Corporation Simple repair method for phase shifting masks
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US5980187A (en) 1997-04-16 1999-11-09 Kla-Tencor Corporation Mechanism for transporting semiconductor-process masks
US6121783A (en) 1997-04-22 2000-09-19 Horner; Gregory S. Method and apparatus for establishing electrical contact between a wafer and a chuck
US6097196A (en) 1997-04-23 2000-08-01 Verkuil; Roger L. Non-contact tunnelling field measurement for a semiconductor oxide layer
US6078738A (en) 1997-05-08 2000-06-20 Lsi Logic Corporation Comparing aerial image to SEM of photoresist or substrate pattern for masking process characterization
KR100308811B1 (en) 1997-05-10 2001-12-15 박종섭 Method for improving time error of time and frequency generating device using gps
US6201999B1 (en) 1997-06-09 2001-03-13 Applied Materials, Inc. Method and apparatus for automatically generating schedules for wafer processing within a multichamber semiconductor wafer processing tool
JPH1114323A (en) * 1997-06-25 1999-01-22 Asahi Chem Ind Co Ltd Pattern inspection method and pattern inspection device
US6011404A (en) 1997-07-03 2000-01-04 Lucent Technologies Inc. System and method for determining near--surface lifetimes and the tunneling field of a dielectric in a semiconductor
US6072320A (en) 1997-07-30 2000-06-06 Verkuil; Roger L. Product wafer junction leakage measurement using light and eddy current
US6104206A (en) 1997-08-05 2000-08-15 Verkuil; Roger L. Product wafer junction leakage measurement using corona and a kelvin probe
US5834941A (en) 1997-08-11 1998-11-10 Keithley Instruments, Inc. Mobile charge measurement using corona charge and ultraviolet light
JPH1167876A (en) * 1997-08-14 1999-03-09 Hitachi Tokyo Electron Co Ltd Die recognition method and semiconductor manufacture device
US6191605B1 (en) 1997-08-18 2001-02-20 Tom G. Miller Contactless method for measuring total charge of an insulating layer on a substrate using corona charge
US6757645B2 (en) 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US7107571B2 (en) 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US6470489B1 (en) 1997-09-17 2002-10-22 Numerical Technologies, Inc. Design rule checking system and method
US6578188B1 (en) 1997-09-17 2003-06-10 Numerical Technologies, Inc. Method and apparatus for a network-based mask defect printability analysis system
US5965306A (en) 1997-10-15 1999-10-12 International Business Machines Corporation Method of determining the printability of photomask defects
US5874733A (en) 1997-10-16 1999-02-23 Raytheon Company Convergent beam scanner linearizing method and apparatus
US6233719B1 (en) 1997-10-27 2001-05-15 Kla-Tencor Corporation System and method for analyzing semiconductor production data
US6097887A (en) 1997-10-27 2000-08-01 Kla-Tencor Corporation Software system and method for graphically building customized recipe flowcharts
US6104835A (en) 1997-11-14 2000-08-15 Kla-Tencor Corporation Automatic knowledge database generation for classifying objects and systems therefor
US6614520B1 (en) 1997-12-18 2003-09-02 Kla-Tencor Corporation Method for inspecting a reticle
US6060709A (en) 1997-12-31 2000-05-09 Verkuil; Roger L. Apparatus and method for depositing uniform charge on a thin oxide semiconductor wafer
US6175645B1 (en) 1998-01-22 2001-01-16 Applied Materials, Inc. Optical inspection method and apparatus
US6122017A (en) 1998-01-22 2000-09-19 Hewlett-Packard Company Method for providing motion-compensated multi-field enhancement of still images from video
US6171737B1 (en) 1998-02-03 2001-01-09 Advanced Micro Devices, Inc. Low cost application of oxide test wafer for defect monitor in photolithography process
US6091845A (en) 1998-02-24 2000-07-18 Micron Technology, Inc. Inspection technique of photomask
US5932377A (en) 1998-02-24 1999-08-03 International Business Machines Corporation Exact transmission balanced alternating phase-shifting mask for photolithography
US6091257A (en) 1998-02-26 2000-07-18 Verkuil; Roger L. Vacuum activated backside contact
US6282309B1 (en) 1998-05-29 2001-08-28 Kla-Tencor Corporation Enhanced sensitivity automated photomask inspection system
US6137570A (en) 1998-06-30 2000-10-24 Kla-Tencor Corporation System and method for analyzing topological features on a surface
JP2000089148A (en) 1998-07-13 2000-03-31 Canon Inc Optical scanner and image forming device using the same
US6324298B1 (en) 1998-07-15 2001-11-27 August Technology Corp. Automated wafer defect inspection system and a process of performing such inspection
JP2000049203A (en) * 1998-07-30 2000-02-18 Hitachi Ltd Method and apparatus for inspecting appearance and manufacture of semiconductor device
US6266437B1 (en) 1998-09-04 2001-07-24 Sandia Corporation Sequential detection of web defects
US6466314B1 (en) 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6122046A (en) 1998-10-02 2000-09-19 Applied Materials, Inc. Dual resolution combined laser spot scanning and area imaging inspection
US6535628B2 (en) 1998-10-15 2003-03-18 Applied Materials, Inc. Detection of wafer fragments in a wafer processing apparatus
JP3860347B2 (en) 1998-10-30 2006-12-20 富士通株式会社 Link processing device
US6248486B1 (en) 1998-11-23 2001-06-19 U.S. Philips Corporation Method of detecting aberrations of an optical imaging system
US6476913B1 (en) 1998-11-30 2002-11-05 Hitachi, Ltd. Inspection method, apparatus and system for circuit pattern
US6529621B1 (en) 1998-12-17 2003-03-04 Kla-Tencor Mechanisms for making and inspecting reticles
US6539106B1 (en) 1999-01-08 2003-03-25 Applied Materials, Inc. Feature-based defect detection
US6252412B1 (en) * 1999-01-08 2001-06-26 Schlumberger Technologies, Inc. Method of detecting defects in patterned substrates
US6373975B1 (en) 1999-01-25 2002-04-16 International Business Machines Corporation Error checking of simulated printed images with process window effects included
US7106895B1 (en) 1999-05-05 2006-09-12 Kla-Tencor Method and apparatus for inspecting reticles implementing parallel processing
WO2000068738A1 (en) 1999-05-07 2000-11-16 Nikon Corporation Aligner, microdevice, photomask, exposure method, and method of manufacturing device
KR20020011416A (en) * 1999-05-18 2002-02-08 조셉 제이. 스위니 Method of and apparatus for inspection of articles by comparison with a master
US6526164B1 (en) 1999-05-27 2003-02-25 International Business Machines Corporation Intelligent photomask disposition
US6407373B1 (en) 1999-06-15 2002-06-18 Applied Materials, Inc. Apparatus and method for reviewing defects on an object
US6922482B1 (en) 1999-06-15 2005-07-26 Applied Materials, Inc. Hybrid invariant adaptive automatic defect classification
KR100702741B1 (en) 1999-06-29 2007-04-03 어플라이드 머티어리얼스, 인코포레이티드 Integrated critical dimension control for semiconductor device manufacturing
JP3816390B2 (en) 1999-07-02 2006-08-30 富士通株式会社 Service allocation device
US6776692B1 (en) 1999-07-09 2004-08-17 Applied Materials Inc. Closed-loop control of wafer polishing in a chemical mechanical polishing system
US6466895B1 (en) 1999-07-16 2002-10-15 Applied Materials, Inc. Defect reference system automatic pattern classification
US6248485B1 (en) 1999-07-19 2001-06-19 Lucent Technologies Inc. Method for controlling a process for patterning a feature in a photoresist
US6466315B1 (en) 1999-09-03 2002-10-15 Applied Materials, Inc. Method and system for reticle inspection by photolithography simulation
US20020144230A1 (en) 1999-09-22 2002-10-03 Dupont Photomasks, Inc. System and method for correcting design rule violations in a mask layout file
JP2001092948A (en) * 1999-09-24 2001-04-06 Konica Corp Device and method for processing image
US6268093B1 (en) 1999-10-13 2001-07-31 Applied Materials, Inc. Method for reticle inspection using aerial imaging
FR2801673B1 (en) 1999-11-26 2001-12-28 Pechiney Aluminium METHOD FOR MEASURING THE DEGREE AND THE HOMOGENEITY OF CALCINATION OF ALUMINS
US7190292B2 (en) 1999-11-29 2007-03-13 Bizjak Karl M Input level adjust system and method
US6445199B1 (en) 1999-12-14 2002-09-03 Kla-Tencor Corporation Methods and apparatus for generating spatially resolved voltage contrast maps of semiconductor test structures
US6771806B1 (en) 1999-12-14 2004-08-03 Kla-Tencor Multi-pixel methods and apparatus for analysis of defect information from test structures on semiconductor devices
US6701004B1 (en) 1999-12-22 2004-03-02 Intel Corporation Detecting defects on photomasks
US6778695B1 (en) 1999-12-23 2004-08-17 Franklin M. Schellenberg Design-based reticle defect prioritization
JP4419250B2 (en) 2000-02-15 2010-02-24 株式会社ニコン Defect inspection equipment
US7120285B1 (en) 2000-02-29 2006-10-10 Advanced Micro Devices, Inc. Method for evaluation of reticle image using aerial image simulator
US6451690B1 (en) 2000-03-13 2002-09-17 Matsushita Electronics Corporation Method of forming electrode structure and method of fabricating semiconductor device
US6482557B1 (en) 2000-03-24 2002-11-19 Dupont Photomasks, Inc. Method and apparatus for evaluating the runability of a photomask inspection tool
US6569691B1 (en) 2000-03-29 2003-05-27 Semiconductor Diagnostics, Inc. Measurement of different mobile ion concentrations in the oxide layer of a semiconductor wafer
WO2001086698A2 (en) 2000-05-10 2001-11-15 Kla-Tencor, Inc. Method and system for detecting metal contamination on a semiconductor wafer
US6425113B1 (en) 2000-06-13 2002-07-23 Leigh C. Anderson Integrated verification and manufacturability tool
KR100885940B1 (en) 2000-06-27 2009-02-26 가부시키가이샤 에바라 세이사꾸쇼 Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2002032737A (en) 2000-07-14 2002-01-31 Seiko Instruments Inc Method and device for navigation for pattern observation of semiconductor device
DE10036810A1 (en) * 2000-07-28 2002-02-07 Bosch Gmbh Robert Connection between two shaft ends of a gas exchange valve of an internal combustion engine and a valve actuator arranged coaxially one behind the other
US6636301B1 (en) 2000-08-10 2003-10-21 Kla-Tencor Corporation Multiple beam inspection apparatus and method
US6634018B2 (en) 2000-08-24 2003-10-14 Texas Instruments Incorporated Optical proximity correction
JP2002071575A (en) 2000-09-04 2002-03-08 Matsushita Electric Ind Co Ltd Defect inspecting and analyzing method and system therefor
DE10044257A1 (en) 2000-09-07 2002-04-11 Infineon Technologies Ag Process for generating mask layout data for lithography simulation and optimized mask layout data, and associated device and programs
US6513151B1 (en) 2000-09-14 2003-01-28 Advanced Micro Devices, Inc. Full flow focus exposure matrix analysis and electrical testing for new product mask evaluation
US6724489B2 (en) 2000-09-22 2004-04-20 Daniel Freifeld Three dimensional scanning camera
WO2002037526A1 (en) 2000-11-02 2002-05-10 Ebara Corporation Electron beam apparatus and method for manufacturing semiconductor device comprising the apparatus
US6753954B2 (en) 2000-12-06 2004-06-22 Asml Masktools B.V. Method and apparatus for detecting aberrations in a projection lens utilized for projection optics
US6602728B1 (en) 2001-01-05 2003-08-05 International Business Machines Corporation Method for generating a proximity model based on proximity rules
US6680621B2 (en) 2001-01-26 2004-01-20 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US6597193B2 (en) 2001-01-26 2003-07-22 Semiconductor Diagnostics, Inc. Steady state method for measuring the thickness and the capacitance of ultra thin dielectric in the presence of substantial leakage current
US20020145734A1 (en) 2001-02-09 2002-10-10 Cory Watkins Confocal 3D inspection system and process
US6873720B2 (en) 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3973372B2 (en) 2001-03-23 2007-09-12 株式会社日立製作所 Substrate inspection apparatus and substrate inspection method using charged particle beam
US6665065B1 (en) 2001-04-09 2003-12-16 Advanced Micro Devices, Inc. Defect detection in pellicized reticles via exposure at short wavelengths
JP4038356B2 (en) 2001-04-10 2008-01-23 株式会社日立製作所 Defect data analysis method and apparatus, and review system
JP4266082B2 (en) 2001-04-26 2009-05-20 株式会社東芝 Inspection method for exposure mask pattern
JP4199939B2 (en) 2001-04-27 2008-12-24 株式会社日立製作所 Semiconductor inspection system
US20020186878A1 (en) 2001-06-07 2002-12-12 Hoon Tan Seow System and method for multiple image analysis
US6779159B2 (en) 2001-06-08 2004-08-17 Sumitomo Mitsubishi Silicon Corporation Defect inspection method and defect inspection apparatus
US6581193B1 (en) 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US7382447B2 (en) 2001-06-26 2008-06-03 Kla-Tencor Technologies Corporation Method for determining lithographic focus and exposure
US6593748B1 (en) 2001-07-12 2003-07-15 Advanced Micro Devices, Inc. Process integration of electrical thickness measurement of gate oxide and tunnel oxides by corona discharge technique
US20030014146A1 (en) 2001-07-12 2003-01-16 Kabushiki Kaisha Toshiba Dangerous process/pattern detection system and method, danger detection program, and semiconductor device manufacturing method
JP2003031477A (en) 2001-07-17 2003-01-31 Hitachi Ltd Manufacturing method of semiconductor device and system thereof
JP4122735B2 (en) 2001-07-24 2008-07-23 株式会社日立製作所 Semiconductor device inspection method and inspection condition setting method
JP3870044B2 (en) * 2001-07-25 2007-01-17 株式会社日立製作所 Pattern inspection method and pattern inspection apparatus
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
ATE540531T1 (en) 2001-09-12 2012-01-15 Panasonic Corp IMAGE DECODING METHOD
JP3903889B2 (en) * 2001-09-13 2007-04-11 株式会社日立製作所 Defect inspection method and apparatus, and imaging method and apparatus
JP3870052B2 (en) 2001-09-20 2007-01-17 株式会社日立製作所 Semiconductor device manufacturing method and defect inspection data processing method
JP3955450B2 (en) 2001-09-27 2007-08-08 株式会社ルネサステクノロジ Sample inspection method
US6670082B2 (en) 2001-10-09 2003-12-30 Numerical Technologies, Inc. System and method for correcting 3D effects in an alternating phase-shifting mask
US6948141B1 (en) 2001-10-25 2005-09-20 Kla-Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6751519B1 (en) 2001-10-25 2004-06-15 Kla-Tencor Technologies Corporation Methods and systems for predicting IC chip yield
WO2003036549A1 (en) 2001-10-25 2003-05-01 Kla-Tencor Technologies Corporation Apparatus and methods for managing reliability of semiconductor devices
US6918101B1 (en) 2001-10-25 2005-07-12 Kla -Tencor Technologies Corporation Apparatus and methods for determining critical area of semiconductor design data
US6734696B2 (en) 2001-11-01 2004-05-11 Kla-Tencor Technologies Corp. Non-contact hysteresis measurements of insulating films
US6886153B1 (en) 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US6658640B2 (en) 2001-12-26 2003-12-02 Numerical Technologies, Inc. Simulation-based feed forward process control
US6789032B2 (en) 2001-12-26 2004-09-07 International Business Machines Corporation Method of statistical binning for reliability selection
US6906305B2 (en) 2002-01-08 2005-06-14 Brion Technologies, Inc. System and method for aerial image sensing
US7236847B2 (en) 2002-01-16 2007-06-26 Kla-Tencor Technologies Corp. Systems and methods for closed loop defect reduction
JP2003215060A (en) 2002-01-22 2003-07-30 Tokyo Seimitsu Co Ltd Pattern inspection method and inspection apparatus
US6691052B1 (en) 2002-01-30 2004-02-10 Kla-Tencor Corporation Apparatus and methods for generating an inspection reference pattern
JP3629244B2 (en) 2002-02-19 2005-03-16 本多エレクトロン株式会社 Wafer inspection equipment
US7257247B2 (en) 2002-02-21 2007-08-14 International Business Machines Corporation Mask defect analysis system
US20030223639A1 (en) 2002-03-05 2003-12-04 Vladimir Shlain Calibration and recognition of materials in technical images using specific and non-specific features
US20030192015A1 (en) 2002-04-04 2003-10-09 Numerical Technologies, Inc. Method and apparatus to facilitate test pattern design for model calibration and proximity correction
US6966047B1 (en) 2002-04-09 2005-11-15 Kla-Tencor Technologies Corporation Capturing designer intent in reticle inspection
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US20030229875A1 (en) 2002-06-07 2003-12-11 Smith Taber H. Use of models in integrated circuit fabrication
WO2003104921A2 (en) 2002-06-07 2003-12-18 Praesagus, Inc. Characterization adn reduction of variation for integrated circuits
US7152215B2 (en) 2002-06-07 2006-12-19 Praesagus, Inc. Dummy fill for integrated circuits
US7124386B2 (en) 2002-06-07 2006-10-17 Praesagus, Inc. Dummy fill for integrated circuits
US7393755B2 (en) 2002-06-07 2008-07-01 Cadence Design Systems, Inc. Dummy fill for integrated circuits
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
JP4008291B2 (en) * 2002-06-10 2007-11-14 大日本スクリーン製造株式会社 Pattern inspection apparatus, pattern inspection method, and program
JP2004031709A (en) 2002-06-27 2004-01-29 Seiko Instruments Inc Waferless measuring recipe generating system
US6777676B1 (en) 2002-07-05 2004-08-17 Kla-Tencor Technologies Corporation Non-destructive root cause analysis on blocked contact or via
JP4073265B2 (en) 2002-07-09 2008-04-09 富士通株式会社 Inspection apparatus and inspection method
US7012438B1 (en) 2002-07-10 2006-03-14 Kla-Tencor Technologies Corp. Methods and systems for determining a property of an insulating film
WO2004008245A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask inspection
WO2004008246A2 (en) 2002-07-12 2004-01-22 Cadence Design Systems, Inc. Method and system for context-specific mask writing
AU2003247868A1 (en) 2002-07-15 2004-02-02 Kla-Tencor Technologies Corp. Defect inspection methods that include acquiring aerial images of a reticle for different lithographic process variables
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6775818B2 (en) 2002-08-20 2004-08-10 Lsi Logic Corporation Device parameter and gate performance simulation based on wafer image prediction
US6784446B1 (en) 2002-08-29 2004-08-31 Advanced Micro Devices, Inc. Reticle defect printability verification by resist latent image comparison
US7043071B2 (en) 2002-09-13 2006-05-09 Synopsys, Inc. Soft defect printability simulation and analysis for masks
US7504182B2 (en) 2002-09-18 2009-03-17 Fei Company Photolithography mask repair
US7061625B1 (en) 2002-09-27 2006-06-13 Kla-Tencor Technologies Corporation Method and apparatus using interferometric metrology for high aspect ratio inspection
US7123356B1 (en) 2002-10-15 2006-10-17 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7027143B1 (en) 2002-10-15 2006-04-11 Kla-Tencor Technologies Corp. Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US7379175B1 (en) 2002-10-15 2008-05-27 Kla-Tencor Technologies Corp. Methods and systems for reticle inspection and defect review using aerial imaging
US6807503B2 (en) 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7386839B1 (en) 2002-11-06 2008-06-10 Valery Golender System and method for troubleshooting software configuration problems using application tracing
US7457736B2 (en) 2002-11-21 2008-11-25 Synopsys, Inc. Automated creation of metrology recipes
WO2004055472A2 (en) 2002-12-13 2004-07-01 Smith Bruce W Method for aberration detection and measurement
US6882745B2 (en) 2002-12-19 2005-04-19 Freescale Semiconductor, Inc. Method and apparatus for translating detected wafer defect coordinates to reticle coordinates using CAD data
US7162071B2 (en) 2002-12-20 2007-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Progressive self-learning defect review and classification method
US6718526B1 (en) 2003-02-07 2004-04-06 Kla-Tencor Corporation Spatial signature analysis
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
JP4056412B2 (en) * 2003-03-10 2008-03-05 株式会社東京精密 Pattern inspection method and apparatus
JP3699960B2 (en) 2003-03-14 2005-09-28 株式会社東芝 Inspection recipe creation system, defect review system, inspection recipe creation method and defect review method
US7053355B2 (en) 2003-03-18 2006-05-30 Brion Technologies, Inc. System and method for lithography process monitoring and control
US7508973B2 (en) 2003-03-28 2009-03-24 Hitachi High-Technologies Corporation Method of inspecting defects
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
JP2004340652A (en) 2003-05-14 2004-12-02 Hitachi Ltd Flaw inspection device and positive electron beam application device
US6777147B1 (en) 2003-05-21 2004-08-17 International Business Machines Corporation Method for evaluating the effects of multiple exposure processes in lithography
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
US7135344B2 (en) 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
US6988045B2 (en) 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
JP5006040B2 (en) * 2003-09-04 2012-08-22 ケーエルエー−テンカー コーポレイション Method and system for inspection of specimens using different inspection parameters
US7003758B2 (en) 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US7103484B1 (en) 2003-10-31 2006-09-05 Kla-Tencor Technologies Corp. Non-contact methods for measuring electrical thickness and determining nitrogen content of insulating films
JP2005183907A (en) 2003-11-26 2005-07-07 Matsushita Electric Ind Co Ltd Method and apparatus for analyzing pattern
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
JP4758358B2 (en) 2004-01-29 2011-08-24 ケーエルエー−テンカー コーポレイション Computer-implemented method for detecting defects in reticle design data
JP4426871B2 (en) 2004-02-25 2010-03-03 エスアイアイ・ナノテクノロジー株式会社 Image noise removal of FIB / SEM combined device
US7194709B2 (en) 2004-03-05 2007-03-20 Keith John Brankner Automatic alignment of integrated circuit and design layout of integrated circuit to more accurately assess the impact of anomalies
JP2005283326A (en) 2004-03-30 2005-10-13 Hitachi High-Technologies Corp Defect review method and its device
US7215808B2 (en) * 2004-05-04 2007-05-08 Kla-Tencor Technologies Corporation High throughout image for processing inspection images
US7171334B2 (en) 2004-06-01 2007-01-30 Brion Technologies, Inc. Method and apparatus for synchronizing data acquisition of a monitored IC fabrication process
US7207017B1 (en) 2004-06-10 2007-04-17 Advanced Micro Devices, Inc. Method and system for metrology recipe generation and review and analysis of design, simulation and metrology results
CA2573217C (en) 2004-08-09 2013-04-09 Bracco Research Sa An image registration method and apparatus for medical imaging based on mulptiple masks
US7310796B2 (en) 2004-08-27 2007-12-18 Applied Materials, Israel, Ltd. System and method for simulating an aerial image
JP4904034B2 (en) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション Method, system and carrier medium for evaluating reticle layout data
US7142992B1 (en) 2004-09-30 2006-11-28 Kla-Tencor Technologies Corp. Flexible hybrid defect classification for semiconductor manufacturing
WO2006044426A2 (en) 2004-10-12 2006-04-27 Kla-Tencor Technologies Corp. Computer-implemented methods and systems for classifying defects on a specimen
JP2006132947A (en) * 2004-11-02 2006-05-25 Hitachi High-Technologies Corp Inspection device and inspection method
JP2006220644A (en) * 2005-01-14 2006-08-24 Hitachi High-Technologies Corp Method and apparatus for inspecting pattern
JP2006200972A (en) 2005-01-19 2006-08-03 Tokyo Seimitsu Co Ltd Image defect inspection method, image defect inspection device, and external appearance inspection device
JP4895569B2 (en) 2005-01-26 2012-03-14 株式会社日立ハイテクノロジーズ CHARGE CONTROL DEVICE AND MEASURING DEVICE PROVIDED WITH CHARGE CONTROL DEVICE
US7475382B2 (en) 2005-02-24 2009-01-06 Synopsys, Inc. Method and apparatus for determining an improved assist feature configuration in a mask layout
US7813541B2 (en) 2005-02-28 2010-10-12 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers
US7804993B2 (en) * 2005-02-28 2010-09-28 Applied Materials South East Asia Pte. Ltd. Method and apparatus for detecting defects in wafers including alignment of the wafer images so as to induce the same smear in all images
US7496880B2 (en) 2005-03-17 2009-02-24 Synopsys, Inc. Method and apparatus for assessing the quality of a process model
US7760929B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Grouping systematic defects with feedback from electrical inspection
US7760347B2 (en) 2005-05-13 2010-07-20 Applied Materials, Inc. Design-based method for grouping systematic defects in lithography pattern writing system
US7444615B2 (en) 2005-05-31 2008-10-28 Invarium, Inc. Calibration on wafer sweet spots
US7853920B2 (en) 2005-06-03 2010-12-14 Asml Netherlands B.V. Method for detecting, sampling, analyzing, and correcting marginal patterns in integrated circuit manufacturing
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7501215B2 (en) 2005-06-28 2009-03-10 Asml Netherlands B.V. Device manufacturing method and a calibration substrate
US20070002322A1 (en) 2005-06-30 2007-01-04 Yan Borodovsky Image inspection method
KR100663365B1 (en) 2005-07-18 2007-01-02 삼성전자주식회사 Optical inspection tools including lens unit with at least a pair of beam paths therein and methods of detecting surface defects of a substrate using the same
US7769225B2 (en) * 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7488933B2 (en) 2005-08-05 2009-02-10 Brion Technologies, Inc. Method for lithography model calibration
CN101258498B (en) 2005-08-08 2011-04-13 Asml荷兰有限公司 System and method for creating a focus-exposure model of a lithography process
US7749666B2 (en) 2005-08-09 2010-07-06 Asml Netherlands B.V. System and method for measuring and analyzing lithographic parameters and determining optimal process corrections
KR100909474B1 (en) 2005-08-10 2009-07-28 삼성전자주식회사 Methods for Detecting Defective Semiconductor Wafers with Local Defect Mode Using Wafer Defect Index and Equipments Used Thereon
JP4203498B2 (en) 2005-09-22 2009-01-07 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Image correction apparatus, pattern inspection apparatus, image correction method, and pattern defect inspection method
JP4266971B2 (en) * 2005-09-22 2009-05-27 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Pattern inspection apparatus, pattern inspection method, and inspection target sample
JP4336672B2 (en) * 2005-09-26 2009-09-30 アドバンスド・マスク・インスペクション・テクノロジー株式会社 Sample inspection apparatus, sample inspection method, and program
US8041103B2 (en) 2005-11-18 2011-10-18 Kla-Tencor Technologies Corp. Methods and systems for determining a position of inspection data in design data space
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570800B2 (en) 2005-12-14 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for binning defects detected on a specimen
US7801353B2 (en) 2006-02-01 2010-09-21 Applied Materials Israel, Ltd. Method for defect detection using computer aided design data
JP2009526240A (en) 2006-02-09 2009-07-16 ケーエルエー−テンカー テクノロジィース コーポレイション Method and system for wafer characterization
US7904845B2 (en) 2006-12-06 2011-03-08 Kla-Tencor Corp. Determining locations on a wafer to be reviewed during defect review
US7877722B2 (en) 2006-12-19 2011-01-25 Kla-Tencor Corp. Systems and methods for creating inspection recipes
US8194968B2 (en) 2007-01-05 2012-06-05 Kla-Tencor Corp. Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
US7962863B2 (en) 2007-05-07 2011-06-14 Kla-Tencor Corp. Computer-implemented methods, systems, and computer-readable media for determining a model for predicting printability of reticle features on a wafer
US7738093B2 (en) 2007-05-07 2010-06-15 Kla-Tencor Corp. Methods for detecting and classifying defects on a reticle
US7796804B2 (en) 2007-07-20 2010-09-14 Kla-Tencor Corp. Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
US8611639B2 (en) 2007-07-30 2013-12-17 Kla-Tencor Technologies Corp Semiconductor device property extraction, generation, visualization, and monitoring methods
US7711514B2 (en) 2007-08-10 2010-05-04 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for generating a metrology sampling plan
JP5425779B2 (en) 2007-08-20 2014-02-26 ケーエルエー−テンカー・コーポレーション A computer-implemented method for determining whether an actual defect is a potential systematic defect or a potentially random defect
US8126255B2 (en) 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8139844B2 (en) 2008-04-14 2012-03-20 Kla-Tencor Corp. Methods and systems for determining a defect criticality index for defects on wafers
EP2396815A4 (en) 2009-02-13 2012-11-28 Kla Tencor Corp Detecting defects on a wafer

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000055799A1 (en) * 1999-03-17 2000-09-21 Semiconductor Technologies & Instruments, Inc. System and method for selection of a reference die
US20040057611A1 (en) * 2002-09-23 2004-03-25 Byoung-Ho Lee Method for selecting reference images, method and apparatus for inspecting patterns on wafers, and method for dividing a wafer into application regions
US20050117796A1 (en) * 2003-11-28 2005-06-02 Shigeru Matsui Pattern defect inspection method and apparatus
WO2006063268A2 (en) * 2004-12-07 2006-06-15 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle

Also Published As

Publication number Publication date
IL227797A (en) 2014-04-30
KR20140091746A (en) 2014-07-22
JP6042924B2 (en) 2016-12-14
US7796804B2 (en) 2010-09-14
JP2015132621A (en) 2015-07-23
JP2014240838A (en) 2014-12-25
KR101471950B1 (en) 2014-12-11
IL227797A0 (en) 2013-09-30
US8204296B2 (en) 2012-06-19
KR101553866B1 (en) 2015-09-17
WO2009015084A2 (en) 2009-01-29
US20090041332A1 (en) 2009-02-12
US20100329540A1 (en) 2010-12-30
KR20100039411A (en) 2010-04-15
JP5758121B2 (en) 2015-08-05
JP5878213B2 (en) 2016-03-08
JP2010534408A (en) 2010-11-04
IL202857A (en) 2013-12-31

Similar Documents

Publication Publication Date Title
WO2009015084A3 (en) Methods for generating a standard reference die for use in a die to standard reference die inspection and methods for inspecting a wafer
WO2008154497A3 (en) Computer-implemented methods, carrier media, and systems for detecting defects on a wafer based on multi-core architecture
WO2008086282A3 (en) Methods and systems for using electrical information for a device being fabricated on a wafer to perform one or more defect-related functions
WO2008088652A3 (en) Method and system for generating a predictive analysis of the performance of peer reviews
TW201129795A (en) Inspection method, inspection apparatus and electron beam apparatus
TW200729075A (en) Image processing method, image processing program and image processing device
EP2975122A3 (en) Method and compositions for producing fatty aldehydes
WO2007014091A3 (en) System and method of generating contour structures using a dose volume histogram
WO2008049118A3 (en) Apparatus and method for obtaining and providing imaging information associated with at least one portion of a sample and effecting such portion(s)
EP1887363A4 (en) Micro overall analysis system, inspection chip, and inspection method
EP2114241A4 (en) Method and system for providing analyte monitoring
WO2006023744A3 (en) Methods and apparatus for local outlier detection
EP2028869A4 (en) Coloring ring selecting method, apparatus and system
WO2008099393A3 (en) Service provisioning system
TW200725778A (en) An inspection system and a method for inspecting a diced wafer
PL2129391T3 (en) Diagnostic method
CL2012000401A1 (en) Method for making a composition to produce an ultrahydrophobic coating comprising combining a first solvent and the particles which are not soluble but dispersible in the first solvent and combining with the second solvent, obtaining composition and recovering the composition; ultrahydrophobic coating; and composition.
ZA201203484B (en) Apparatus,method and computer program for providing one or more adjusted parameters for provision of an upmix signal representation on the basis of a downmix signal representation and a parametric side information associated with the downmix signal representation, using an average value
EP1852719A4 (en) Method of designing diffusion film, process for producing the same, and diffusion film obtained thereby
WO2008135810A3 (en) Method and apparatus for designing an integrated circuit
EP1681532A3 (en) Method and system for inspection of fabricated components
WO2009017465A3 (en) Patterned wafer defect inspection system and method
WO2010056467A3 (en) Method and apparatus to facilitate using a virtual-world interaction to facilitate a real-world transaction
EP3316073A3 (en) Apparatus and method for scaling dynamic bus clock
WO2010017524A3 (en) System, method and computer accessible medium for providing real-time diffusional kurtosis imaging

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08796377

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2010517203

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20107003699

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 08796377

Country of ref document: EP

Kind code of ref document: A2