WO2009039551A1 - Method of removing photoresist - Google Patents

Method of removing photoresist Download PDF

Info

Publication number
WO2009039551A1
WO2009039551A1 PCT/AU2007/001424 AU2007001424W WO2009039551A1 WO 2009039551 A1 WO2009039551 A1 WO 2009039551A1 AU 2007001424 W AU2007001424 W AU 2007001424W WO 2009039551 A1 WO2009039551 A1 WO 2009039551A1
Authority
WO
WIPO (PCT)
Prior art keywords
photoresist
nozzle
ashing
ink
gas chemistry
Prior art date
Application number
PCT/AU2007/001424
Other languages
French (fr)
Inventor
Darrell Larue Mcreynolds
C. S Lakshmii
Yao FU
Kia Silverbrook
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Priority to PCT/AU2007/001424 priority Critical patent/WO2009039551A1/en
Priority to TW097105897A priority patent/TW200915023A/en
Publication of WO2009039551A1 publication Critical patent/WO2009039551A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1601Production of bubble jet print heads
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1637Manufacturing processes molding
    • B41J2/1639Manufacturing processes molding sacrificial molding
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only

Definitions

  • the present invention relates to the field of printers and particularly MEMS inkjet printheads. It has been developed primarily to improve fabrication of MEMS inkjet printheads, although the invention is equally applicable to any MEMS fabrication process.
  • Ink Jet printers themselves come in many different types.
  • the utilization of a continuous stream of ink in inkjet printing appears to date back to at least 1929 wherein US Patent No. 1941001 by Hansell discloses a simple form of continuous stream electro-static inkjet printing.
  • Piezoelectric inkjet printers are also one form of commonly utilized inkjet printing device. Piezoelectric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilizes a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezoelectric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezoelectric operation, Howkins in US Patent No. 4459601 discloses a piezoelectric push mode actuation of the inkjet stream and Fischbeck in US 4584590 which discloses a shear mode type of piezoelectric transducer element.
  • the present Applicant has employed photoresist as a sacrificial scaffold onto which other materials (e.g. heater material, roof structures) may be deposited.
  • This technique enables relatively complex nozzle assemblies to be constructed.
  • it requires deposition of relatively thick layers of viscous, heat-resistant photoresist.
  • photoresist layers or plugs of up to 30 microns may be required.
  • this photoresist must be thoroughly hardbaked and UV cured so that it does not reflow during subsequent high-temperature deposition steps e.g. deposition of metals or ceramic material onto the photoresist.
  • a final ashing step removes all remaining photoresist in the nozzle assemblies, including photoresist scaffolds and photoresist plugs employed during the fabrication process. Hitherto, traditional O 2 plasma ashing techniques have been employed for final or late-stage removal of photoresist.
  • a method of photoresist removal employing a plasma formed from a gas chemistry comprising NH 3 .
  • gas chemistries comprising NH 3 are particularly efficacious in removing photoresist and provide higher ashing rates than conventional O 2 ashing.
  • ashing rates are improved by at least 20%, at least 50% or at least 100%, compared with ashing rates using a conventional O 2 plasma.
  • the gas chemistry consists of NH3 only.
  • the gas chemistry further comprises O 2 .
  • the O 2 may be a major or a minor component of the gas chemistry.
  • a ratio of O 2 :NH 3 is in the range of 15:1 to 5:1, or optionally about 10:1.
  • the gas chemistry consists of O 2 and NH3.
  • the gas chemistry further comprises N 2 .
  • a ratio of N 2 :NH 3 is in the range of 5 : 1 to 1 :5, or optionally about 1 :1.
  • the gas chemistry consists of O 2 , NH3 and N 2 , and optionally in a ratio of about 10:1 :1.
  • the photoresist is hardbaked photoresist.
  • the photoresist is UV- cured photoresist.
  • the photoresist has a thickness of at least 2 microns or at least 5 microns. Traditionally, photoresist of this nature was considered relatively difficult to remove and required prolonged ashing times. However, the present invention removes such photoresist in acceptable times with no damage to other MEMS structures.
  • the method is a step of a MEMS fabrication process.
  • the method is a step of a printhead fabrication process.
  • the photoresist is contained in at least one of: inkjet nozzle chambers and ink supply channels.
  • This photoresist may be used as a sacrificial scaffold during nozzle fabrication, but requires removal in late-stage MEMS processing.
  • the photoresist is a protective coating for MEMS structures, such as inkjet nozzle assemblies.
  • MEMS structures are protected with a hardbaked photoresist layer during MEMS fabrication, especially if backside processing steps are required.
  • the present invention is suitable for removing such photoresist.
  • a method of fabricating an inkjet printhead comprising the steps of: forming inkjet nozzle chambers on a substrate, each nozzle chamber containing at least some photoresist; and removing said photoresist using a plasma formed from a gas chemistry comprising NH 3 .
  • Figure 1 is a partial perspective view of an array of nozzle assemblies of a thermal inkjet printhead
  • Figure 2 is a side view of a nozzle assembly unit cell shown in Figure 1 ;
  • Figure 3 is a perspective of the nozzle assembly shown in Figure 2;
  • Figure 4 shows a partially- formed nozzle assembly after deposition of side walls and roof material onto a sacrificial photoresist layer
  • Figure 5 is a perspective of the nozzle assembly shown in Figure 4.
  • Figure 6 is the mask associated with the nozzle rim etch shown in Figure 7;
  • Figure 7 shows the etch of the roof layer to form the nozzle opening rim
  • Figure 8 is a perspective of the nozzle assembly shown in Figure 7;
  • Figure 9 is the mask associated with the nozzle opening etch shown in Figure 10;
  • Figure 10 shows the etch of the roof material to form the elliptical nozzle openings
  • Figure 11 is a perspective of the nozzle assembly shown in Figure 10;
  • Figure 12 shows the nozzle assembly after plasma ashing of the sacrificial photoresist
  • Figure 13 is a perspective of the nozzle assembly shown in Figure 12;
  • Figure 14 shows the whole thickness of the wafer after plasma ashing
  • Figure 15 is a perspective of the nozzle assembly shown in Figure 14;
  • Figure 16 is the mask associated with the backside etch shown in Figure 17;
  • Figure 17 shows the backside etch of the ink supply channel into the wafer.
  • Figure 18 is a perspective of the nozzle assembly shown in Figure 17.
  • the present invention may be used in connection with any process requiring removal of photoresist.
  • it will now be exemplified using the example of MEMS inkjet printhead fabrication.
  • the present Applicant has previously described a fabrication of a plethora of inkjet printheads for which the present invention is suitable. It is not necessary to describe all such printheads here for an understanding of the present invention.
  • the present invention will now be described in connection with a thermal bubble-forming inkjet printhead and a mechanical thermal bend actuated inkjet printhead. Advantages of the present invention will be readily apparent from the discussion that follows.
  • Figure 1 there is shown a part of printhead comprising a plurality of nozzle assemblies.
  • Figures 2 and 3 show one of these nozzle assemblies in side-section and cutaway perspective views.
  • Each nozzle assembly comprises a nozzle chamber 24 formed by MEMS fabrication techniques on a silicon wafer substrate 2.
  • the nozzle chamber 24 is defined by a roof 21 and sidewalls 22 which extend from the roof 21 to the silicon substrate 2.
  • each roof is defined by part of a nozzle plate 56, which spans across an ejection face of the printhead.
  • the nozzle plate 56 and sidewalls 22 are formed of the same material, which is deposited by PECVD over a sacrificial scaffold of photoresist during MEMS fabrication.
  • the nozzle plate 56 and sidewalls 21 are formed of a ceramic material, such as silicon dioxide or silicon nitride. These hard materials have excellent properties for printhead robustness, and their inherently hydrophilic nature is advantageous for supplying ink to the nozzle chambers 24 by capillary action.
  • a nozzle opening 26 is defined in a roof of each nozzle chamber 24.
  • Each nozzle opening 26 is generally elliptical and has an associated nozzle rim 25.
  • the nozzle rim 25 assists with drop directionality during printing as well as reducing, at least to some extent, ink flooding from the nozzle opening 26.
  • the actuator for ejecting ink from the nozzle chamber 24 is a heater element 29 positioned beneath the nozzle opening 26 and suspended across a pit 8. Current is supplied to the heater element 29 via electrodes 9 connected to drive circuitry in underlying CMOS layers of the substrate 2.
  • the nozzles are arranged in rows and an ink supply channel 27 extending longitudinally along the row supplies ink to each nozzle in the row.
  • the ink supply channel 27 delivers ink to an ink inlet passage 15 for each nozzle, which supplies ink from the side of the nozzle opening 26 via an ink conduit 23 in the nozzle chamber 24.
  • Figures 4 and 5 show a partially- fabricated printhead comprising a nozzle chamber 24 encapsulating sacrificial photoresist 16.
  • the photoresist 16 was used firstly to plug the ink inlet 15 (shown in Figure 2), secondly as a scaffold for deposition of heater material to form the suspended heater element 29, and thirdly as a scaffold for deposition of the sidewalls 22 and roof 21 (which defines part of the nozzle plate 56).
  • the photoresist plugging the ink inlet 15 has a depth of about 20 microns, while the photoresist used as a scaffold in the nozzle chambers has a thickness of at least 5 microns.
  • all the photoresist 16 was hardbaked and UV cured and must be removed later on in the fabrication process.
  • the next stage of MEMS fabrication defines the elliptical nozzle rim 25 in the roof 21 by etching away 2 microns of roof material 20. This etch is defined using a layer of photoresist (not shown) exposed by the dark tone rim mask shown in Figure 6.
  • the elliptical rim 25 comprises two coaxial rim lips 25a and 25b, positioned over their respective thermal actuator 29.
  • the next stage defines an elliptical nozzle aperture 26 in the roof 21 by etching all the way through the remaining roof material 20, which is bounded by the rim 25. This etch is defined using a layer of photoresist (not shown) exposed by the dark tone roof mask shown in Figure 9.
  • the elliptical nozzle aperture 26 is positioned over the thermal actuator 29, as shown in Figure 11.
  • the next stage removes the photoresist 16 by frontside plasma ashing ( Figures 12 and 13).
  • Figures 14 and 15 show the entire thickness (150 microns) of the silicon wafer 2 after ashing away all the photoresist 16.
  • an O 2 plasma is employed for ashing the photoresist 16.
  • the ashing plasma is formed using a gas chemistry comprising NH 3 .
  • the plasma is formed from a gas chemistry comprising NH 3 , superior ashing is achieved in terms of increased ashing rate and reduced damage to nozzle structures. Experimental details of ashing conditions are described in more detail in the Example section below.
  • ink supply channels 27 are etched from the backside of the wafer to meet with the ink inlets 15 using a standard anisotropic DRIE. This backside etch is defined using a layer of photoresist (not shown) exposed by the dark tone mask shown in Figure 16.
  • the ink supply channel 27 makes a fluidic connection between the backside of the wafer and the ink inlets 15.
  • Figure 1 shows three adjacent rows of nozzles in a cutaway perspective view of a completed printhead integrated circuit.
  • Each row of nozzles has a respective ink supply channel 27 extending along its length and supplying ink to a plurality of ink inlets 15 in each row.
  • the ink inlets supply ink to the ink conduit 23 for each row, with each nozzle chamber receiving ink from a common ink conduit for that row.
  • late-stage MEMS fabrication steps may be varied.
  • backside ashing may be performed after the ink supply channels 27 have been etched.
  • both frontside and backside ashing may be employed so as to completely remove the photoresist, whilst minimizing risk of damage to nozzle stuctures.
  • the wafer must be subjected to ashing, either frontside ashing and/or backside ashing, in order to remove the photoresist 16 and furnish the printhead.
  • gas chemistries comprising NH 3 provide superior ashing rates compared to conventional ashing conditions. Moreover, the structural integrity of the MEMS nozzle assemblies is not compromised using these improved ashing conditions.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)

Abstract

A method of photoresist removal is provided. The method employs a plasma formed from a gas chemistry comprising NH3. The method is particularly suitable for use in MEMS fabrication processes, such as inkjet printhead fabrication.

Description

METHOD OF REMOVING PHOTORESIST
Field of the Invention
The present invention relates to the field of printers and particularly MEMS inkjet printheads. It has been developed primarily to improve fabrication of MEMS inkjet printheads, although the invention is equally applicable to any MEMS fabrication process.
Background of the Invention
Many different types of printing have been invented, a large number of which are presently in use. The known forms of print have a variety of methods for marking the print media with a relevant marking media. Commonly used forms of printing include offset printing, laser printing and copying devices, dot matrix type impact printers, thermal paper printers, film recorders, thermal wax printers, dye sublimation printers and inkjet printers both of the drop on demand and continuous flow type. Each type of printer has its own advantages and problems when considering cost, speed, quality, reliability, simplicity of construction and operation etc.
In recent years, the field of ink jet printing, wherein each individual pixel of ink is derived from one or more ink nozzles has become increasingly popular primarily due to its inexpensive and versatile nature.
Many different techniques on inkjet printing have been invented. For a survey of the field, reference is made to an article by J Moore, "Non-Impact Printing: Introduction and Historical Perspective", Output Hard Copy Devices, Editors R Dubeck and S Sherr, pages 207 - 220 (1988).
Ink Jet printers themselves come in many different types. The utilization of a continuous stream of ink in inkjet printing appears to date back to at least 1929 wherein US Patent No. 1941001 by Hansell discloses a simple form of continuous stream electro-static inkjet printing.
US Patent 3596275 by Sweet also discloses a process of a continuous inkjet printing including the step wherein the inkjet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilized by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)
Piezoelectric inkjet printers are also one form of commonly utilized inkjet printing device. Piezoelectric systems are disclosed by Kyser et. al. in US Patent No. 3946398 (1970) which utilizes a diaphragm mode of operation, by Zolten in US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezoelectric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezoelectric operation, Howkins in US Patent No. 4459601 discloses a piezoelectric push mode actuation of the inkjet stream and Fischbeck in US 4584590 which discloses a shear mode type of piezoelectric transducer element.
Recently, thermal ink jet printing has become an extremely popular form of ink jet printing. The ink jet printing techniques include those disclosed by Endo et al in GB 2007162 (1979) and Vaught et al in US Patent 4490728. Both the aforementioned references disclosed ink jet printing techniques that rely upon the activation of an electrothermal actuator which results in the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media. Printing devices utilizing the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard.
As can be seen from the foregoing, many different types of printing technologies are available. Ideally, a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
The present Applicant has developed a plethora of inkjet printheads fabricated by MEMS techniques. Typically, MEMS fabrication employs a plurality of photoresist deposition and removal steps. Removal of relatively thin layers of photoresist (c.a. 1 micron or less), used as photolithographic masks, is usually facile. Standard conditions employ an oxygen plasma, which oxidatively removes any photoresist in a process colloquially known in the art as "ashing".
In the fabrication of inkjet nozzle assemblies, the present Applicant has employed photoresist as a sacrificial scaffold onto which other materials (e.g. heater material, roof structures) may be deposited. This technique enables relatively complex nozzle assemblies to be constructed. However, it requires deposition of relatively thick layers of viscous, heat-resistant photoresist. As will be explained in more detail below, photoresist layers or plugs of up to 30 microns may be required. Furthermore, this photoresist must be thoroughly hardbaked and UV cured so that it does not reflow during subsequent high-temperature deposition steps e.g. deposition of metals or ceramic material onto the photoresist.
In a typical MEMS printhead fabrication process, a final ashing step removes all remaining photoresist in the nozzle assemblies, including photoresist scaffolds and photoresist plugs employed during the fabrication process. Hitherto, traditional O2 plasma ashing techniques have been employed for final or late-stage removal of photoresist.
However, thick layers of photoresist, which have been hardbaked and UV cured have increased resistance to ashing and are removed relatively slowly by traditional O2 ashing techniques. This means that prolonged ashing times are required and/or higher ashing temperatures. Prolonged ashing times and/or higher ashing temperatures are undesirable, because there is an increased risk of damage to other MEMS structures (e.g. nozzle chambers, actuators) during the ashing process. Moreover, there is, in general, a need to increase the efficiency of each MEMS processing step so as to reduce processing time and, ultimately, reduce the cost of each printhead.
The addition of small amounts of fluorine-containing gases (e.g. CF4, C4Fg) is known to increase the rate of O2 ashing. However, fluorinated gas chemistries attack materials such as silicon nitride, which typically forms the nozzle plate in the Applicant's MEMS printheads. Accordingly, these ashing conditions are not considered suitable for use in the Applicant's fabrication process.
The use of O2/N2 has also been used to improve ashing rates, although the addition of N2 shows only moderate improvement over pure O2.
Accordingly, from the foregoing, it will be appreciated that there is a need to improve the efficiency of photoresist removal in MEMS fabrication techniques. Whilst this need has been presented in the context of printhead fabrication, it will be appreciated that any MEMS fabrication process would benefit from improved techniques for photoresist removal, especially those MEMS fabrication processes which use a relatively thick layer of sacrificial photoresist, which has been hardbaked and/or UV cured.
Summary of the Invention
In a first embodiment, there is provided a method of photoresist removal, the method employing a plasma formed from a gas chemistry comprising NH3. The present inventors have found that gas chemistries comprising NH3 are particularly efficacious in removing photoresist and provide higher ashing rates than conventional O2 ashing. Typically ashing rates are improved by at least 20%, at least 50% or at least 100%, compared with ashing rates using a conventional O2 plasma.
In some embodiments, the gas chemistry consists of NH3 only.
In other embodiments, the gas chemistry further comprises O2. The O2 may be a major or a minor component of the gas chemistry.
Optionally a ratio of O2:NH3 is in the range of 15:1 to 5:1, or optionally about 10:1.
Optionally, the gas chemistry consists of O2 and NH3.
Optionally, the gas chemistry further comprises N2.
Optionally a ratio of N2:NH3 is in the range of 5 : 1 to 1 :5, or optionally about 1 :1.
Optionally, the gas chemistry consists of O2, NH3 and N2, and optionally in a ratio of about 10:1 :1. Optionally, the photoresist is hardbaked photoresist. Optionally, the photoresist is UV- cured photoresist. Optionally, the photoresist has a thickness of at least 2 microns or at least 5 microns. Traditionally, photoresist of this nature was considered relatively difficult to remove and required prolonged ashing times. However, the present invention removes such photoresist in acceptable times with no damage to other MEMS structures.
Optionally, the method is a step of a MEMS fabrication process.
Optionally, the method is a step of a printhead fabrication process.
Optionally, the photoresist is contained in at least one of: inkjet nozzle chambers and ink supply channels. This photoresist may be used as a sacrificial scaffold during nozzle fabrication, but requires removal in late-stage MEMS processing.
Optionally, the photoresist is a protective coating for MEMS structures, such as inkjet nozzle assemblies. Typically, MEMS structures are protected with a hardbaked photoresist layer during MEMS fabrication, especially if backside processing steps are required. The present invention is suitable for removing such photoresist.
In a second aspect, there is provided a method of fabricating an inkjet printhead, the method comprising the steps of: forming inkjet nozzle chambers on a substrate, each nozzle chamber containing at least some photoresist; and removing said photoresist using a plasma formed from a gas chemistry comprising NH3.
Brief Description of the Drawings
Optional embodiments of the present invention will now be described by way of example only with reference to the accompanying drawings, in which:
Figure 1 is a partial perspective view of an array of nozzle assemblies of a thermal inkjet printhead;
Figure 2 is a side view of a nozzle assembly unit cell shown in Figure 1 ;
Figure 3 is a perspective of the nozzle assembly shown in Figure 2;
Figure 4 shows a partially- formed nozzle assembly after deposition of side walls and roof material onto a sacrificial photoresist layer;
Figure 5 is a perspective of the nozzle assembly shown in Figure 4;
Figure 6 is the mask associated with the nozzle rim etch shown in Figure 7;
Figure 7 shows the etch of the roof layer to form the nozzle opening rim;
Figure 8 is a perspective of the nozzle assembly shown in Figure 7;
Figure 9 is the mask associated with the nozzle opening etch shown in Figure 10;
Figure 10 shows the etch of the roof material to form the elliptical nozzle openings; Figure 11 is a perspective of the nozzle assembly shown in Figure 10;
Figure 12 shows the nozzle assembly after plasma ashing of the sacrificial photoresist;
Figure 13 is a perspective of the nozzle assembly shown in Figure 12;
Figure 14 shows the whole thickness of the wafer after plasma ashing;
Figure 15 is a perspective of the nozzle assembly shown in Figure 14;
Figure 16 is the mask associated with the backside etch shown in Figure 17;
Figure 17 shows the backside etch of the ink supply channel into the wafer; and
Figure 18 is a perspective of the nozzle assembly shown in Figure 17.
Description of Optional Embodiments
As foreshadowed above, the present invention may be used in connection with any process requiring removal of photoresist. However, it will now be exemplified using the example of MEMS inkjet printhead fabrication. The present Applicant has previously described a fabrication of a plethora of inkjet printheads for which the present invention is suitable. It is not necessary to describe all such printheads here for an understanding of the present invention. However, the present invention will now be described in connection with a thermal bubble-forming inkjet printhead and a mechanical thermal bend actuated inkjet printhead. Advantages of the present invention will be readily apparent from the discussion that follows.
Referring to Figure 1, there is shown a part of printhead comprising a plurality of nozzle assemblies. Figures 2 and 3 show one of these nozzle assemblies in side-section and cutaway perspective views.
Each nozzle assembly comprises a nozzle chamber 24 formed by MEMS fabrication techniques on a silicon wafer substrate 2. The nozzle chamber 24 is defined by a roof 21 and sidewalls 22 which extend from the roof 21 to the silicon substrate 2. As shown in Figure 1, each roof is defined by part of a nozzle plate 56, which spans across an ejection face of the printhead. The nozzle plate 56 and sidewalls 22 are formed of the same material, which is deposited by PECVD over a sacrificial scaffold of photoresist during MEMS fabrication. Typically, the nozzle plate 56 and sidewalls 21 are formed of a ceramic material, such as silicon dioxide or silicon nitride. These hard materials have excellent properties for printhead robustness, and their inherently hydrophilic nature is advantageous for supplying ink to the nozzle chambers 24 by capillary action.
Returning to the details of the nozzle chamber 24, it will be seen that a nozzle opening 26 is defined in a roof of each nozzle chamber 24. Each nozzle opening 26 is generally elliptical and has an associated nozzle rim 25. The nozzle rim 25 assists with drop directionality during printing as well as reducing, at least to some extent, ink flooding from the nozzle opening 26. The actuator for ejecting ink from the nozzle chamber 24 is a heater element 29 positioned beneath the nozzle opening 26 and suspended across a pit 8. Current is supplied to the heater element 29 via electrodes 9 connected to drive circuitry in underlying CMOS layers of the substrate 2. When a current is passed through the heater element 29, it rapidly superheats surrounding ink to form a gas bubble, which forces ink through the nozzle opening. By suspending the heater element 29, it is completely immersed in ink when the nozzle chamber 24 is primed. This improves printhead efficiency, because less heat dissipates into the underlying substrate 2 and more input energy is used to generate a bubble.
As seen most clearly in Figure 1, the nozzles are arranged in rows and an ink supply channel 27 extending longitudinally along the row supplies ink to each nozzle in the row. The ink supply channel 27 delivers ink to an ink inlet passage 15 for each nozzle, which supplies ink from the side of the nozzle opening 26 via an ink conduit 23 in the nozzle chamber 24.
The complete MEMS fabrication process for manufacturing such printheads was described in detail in our previously filed US Application No. 11/246,684 filed on October 11, 2005, the contents of which is herein incorporated by reference. The latter stages of this fabrication process are briefly revisited here so as to illustrate one example of the present invention.
Figures 4 and 5 show a partially- fabricated printhead comprising a nozzle chamber 24 encapsulating sacrificial photoresist 16. During nozzle fabrication, the photoresist 16 was used firstly to plug the ink inlet 15 (shown in Figure 2), secondly as a scaffold for deposition of heater material to form the suspended heater element 29, and thirdly as a scaffold for deposition of the sidewalls 22 and roof 21 (which defines part of the nozzle plate 56). The photoresist plugging the ink inlet 15 has a depth of about 20 microns, while the photoresist used as a scaffold in the nozzle chambers has a thickness of at least 5 microns. Furthermore, all the photoresist 16 was hardbaked and UV cured and must be removed later on in the fabrication process.
Referring to Figures 6 to 8, the next stage of MEMS fabrication defines the elliptical nozzle rim 25 in the roof 21 by etching away 2 microns of roof material 20. This etch is defined using a layer of photoresist (not shown) exposed by the dark tone rim mask shown in Figure 6. The elliptical rim 25 comprises two coaxial rim lips 25a and 25b, positioned over their respective thermal actuator 29.
Referring to Figures 9 to 11, the next stage defines an elliptical nozzle aperture 26 in the roof 21 by etching all the way through the remaining roof material 20, which is bounded by the rim 25. This etch is defined using a layer of photoresist (not shown) exposed by the dark tone roof mask shown in Figure 9. The elliptical nozzle aperture 26 is positioned over the thermal actuator 29, as shown in Figure 11. With all the MEMS nozzle features now fully formed, the next stage removes the photoresist 16 by frontside plasma ashing (Figures 12 and 13). Figures 14 and 15 show the entire thickness (150 microns) of the silicon wafer 2 after ashing away all the photoresist 16.
In a traditional ashing processes, an O2 plasma is employed for ashing the photoresist 16. However, in accordance with the present invention, the ashing plasma is formed using a gas chemistry comprising NH3. When the plasma is formed from a gas chemistry comprising NH3, superior ashing is achieved in terms of increased ashing rate and reduced damage to nozzle structures. Experimental details of ashing conditions are described in more detail in the Example section below.
Referring to Figures 16 to 18, once frontside MEMS processing of the wafer is completed, ink supply channels 27 are etched from the backside of the wafer to meet with the ink inlets 15 using a standard anisotropic DRIE. This backside etch is defined using a layer of photoresist (not shown) exposed by the dark tone mask shown in Figure 16. The ink supply channel 27 makes a fluidic connection between the backside of the wafer and the ink inlets 15.
Finally, and referring to Figures 2 and 3, the wafer is thinned to about 135 microns by backside etching. Figure 1 shows three adjacent rows of nozzles in a cutaway perspective view of a completed printhead integrated circuit. Each row of nozzles has a respective ink supply channel 27 extending along its length and supplying ink to a plurality of ink inlets 15 in each row. The ink inlets, in turn, supply ink to the ink conduit 23 for each row, with each nozzle chamber receiving ink from a common ink conduit for that row.
It will be appreciated by the person skilled in the art that the exact ordering of late-stage MEMS fabrication steps may be varied. For example, backside ashing may be performed after the ink supply channels 27 have been etched. Alternatively, both frontside and backside ashing may be employed so as to completely remove the photoresist, whilst minimizing risk of damage to nozzle stuctures. Regardless, it will be appreciated that the wafer must be subjected to ashing, either frontside ashing and/or backside ashing, in order to remove the photoresist 16 and furnish the printhead.
Examples
Frontside ashing of the nozzle assembly shown in Figures 10 and 11 was performed in an ashing oven, using Recipes 1 to 3 shown in Table 1. The temperature in Table 1 refers to the chuck temperature, which is cooled using helium.
Figure imgf000008_0001
Figure imgf000009_0001
Table 1
Under all the conditions shown in Table 1, an excellent rate of photoresist removal was observed with no observable damage to either the nozzle roof 21 or the heater element 29. In particular, all the photoresist contained in the nozzle chamber was removed after about 15-30 minutes using the conditions shown in Recipes 2 and 3. By way of comparison, conventional O2 ashing or O2ZN2 ashing requires about 70-90 minutes of frontside ashing time to remove the same photoresist.
As expected, the improved ashing rates were also observed in similar backside ashing experiments. Again, the O2/NH3 and the O2/NH3/N2 gas chemistries gave the highest ashing rates, although NH3 only was still superior to O2 only or O2ZN2 gas chemistries.
From these experiments, it can be concluded that gas chemistries comprising NH3 provide superior ashing rates compared to conventional ashing conditions. Moreover, the structural integrity of the MEMS nozzle assemblies is not compromised using these improved ashing conditions.
The best results were obtained using O2/NH3 and O2/N2/NH3 gas chemistries. However, NH3 only is still superior to conventional O2 ashing conditions.
It will be appreciated by ordinary workers in this field that numerous variations and/or modifications may be made to the present invention as shown in the specific embodiments without departing from the spirit or scope of the invention as broadly described. The present embodiments are, therefore, to be considered in all respects to be illustrative and not restrictive.

Claims

1. A method of photoresist removal, said method employing a plasma formed from a gas chemistry comprising NH3.
2. The method of claim 1, wherein said gas chemistry consists OfNH3 only.
3. The method of claim 1, wherein said gas chemistry further comprises O2.
4. The method of claim 3 , wherein a ratio of O2 :NH3 is in the range of 15 : 1 to 5 : 1.
5. The method of claim 1 , wherein the gas chemistry consists of O2 and NH3.
6. The method of claim 1 , wherein said gas chemistry further comprises N2.
7. The method of claim 6, wherein a ratio of N2:NH3 is in the range of 5 : 1 to 1 :5.
8. The method of claim 1 , wherein the gas chemistry consists of O2, NH3 and N2.
9. The method of claim 1 , wherein a rate of photoresist removal is at least 20% greater than a rate of photoresist removal using an O2 plasma.
10. The method of claim 1 , wherein said photoresist is hardbaked photoresist.
11. The method of claim 1 , wherein said photoresist is UV-cured photoresist.
12. The method of claim 1 , wherein said photoresist has a thickness of at least 2 microns.
13. The method of claim 1 , wherein said photoresist has a thickness of at least 5 microns.
14. The method of claim 1 , wherein said method is a step of a MEMS fabrication process.
15. The method of claim 1 , wherein said method is a step of a printhead fabrication process.
16. The method of claim 15, wherein said photoresist is contained in at least one of: inkjet nozzle chambers and ink supply channels.
17. The method of claim 15, wherein said photoresist is a protective coating for inkjet nozzle assemblies.
18. A method of fabricating an inkjet printhead, said method comprising the steps of: forming inkjet nozzle chambers on a substrate, each nozzle chamber containing at least some photoresist; and removing said photoresist using a plasma formed from a gas chemistry comprising NH3.
PCT/AU2007/001424 2007-09-26 2007-09-26 Method of removing photoresist WO2009039551A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
PCT/AU2007/001424 WO2009039551A1 (en) 2007-09-26 2007-09-26 Method of removing photoresist
TW097105897A TW200915023A (en) 2007-09-26 2008-02-20 Method of removing photoresist

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/AU2007/001424 WO2009039551A1 (en) 2007-09-26 2007-09-26 Method of removing photoresist

Publications (1)

Publication Number Publication Date
WO2009039551A1 true WO2009039551A1 (en) 2009-04-02

Family

ID=40510648

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/AU2007/001424 WO2009039551A1 (en) 2007-09-26 2007-09-26 Method of removing photoresist

Country Status (2)

Country Link
TW (1) TW200915023A (en)
WO (1) WO2009039551A1 (en)

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010024769A1 (en) * 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US20020090833A1 (en) * 2001-01-05 2002-07-11 Mitsubishi Denki Kabushiki Kaisha Method of forming dielectric film and dielectric film
US20020111041A1 (en) * 2001-02-12 2002-08-15 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US20040157462A1 (en) * 1998-08-28 2004-08-12 Larry Hillyer Method of removing etch residues
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material
US20050009356A1 (en) * 2003-05-13 2005-01-13 Akihiro Kojima Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US20050130435A1 (en) * 2003-12-16 2005-06-16 Rao Annapragada Method of preventing damage to porous low-k materials during resist stripping
US20050136644A1 (en) * 2003-12-22 2005-06-23 Semiconductor Leading Edge Technologies, Inc. Method of fabricating a semiconductor device having metal wiring
US20060040474A1 (en) * 2004-08-17 2006-02-23 Jyu-Horng Shieh Low oxygen content photoresist stripping process for low dielectric constant materials
US20060105576A1 (en) * 2004-11-18 2006-05-18 International Business Machines Corporation High ion energy and reative species partial pressure plasma ash process
US20060234511A1 (en) * 2005-04-19 2006-10-19 Elpida Memory, Inc Method for forming a semiconductor device including a plasma ashing treatment for removal of photoresist
US20060258148A1 (en) * 2005-05-10 2006-11-16 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US7183220B1 (en) * 1998-08-27 2007-02-27 Micron Technology, Inc. Plasma etching methods
US20070072403A1 (en) * 2005-09-27 2007-03-29 Oki Electric Industry Co., Ltd. Semiconductor device and method for fabricating the same
US20070090090A1 (en) * 2005-10-26 2007-04-26 Koichi Nakaune Dry etching method
US20070105392A1 (en) * 2005-11-08 2007-05-10 Raymond Joe Batch photoresist dry strip and ash system and process
US20070117341A1 (en) * 2000-11-15 2007-05-24 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
US20070178637A1 (en) * 2006-01-31 2007-08-02 Samsung Electronics Co., Ltd. Method of fabricating gate of semiconductor device using oxygen-free ashing process

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7183220B1 (en) * 1998-08-27 2007-02-27 Micron Technology, Inc. Plasma etching methods
US20040157462A1 (en) * 1998-08-28 2004-08-12 Larry Hillyer Method of removing etch residues
US20060128159A1 (en) * 1998-08-28 2006-06-15 Larry Hillyer Method of removing etch residues
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US20010024769A1 (en) * 2000-02-08 2001-09-27 Kevin Donoghue Method for removing photoresist and residues from semiconductor device surfaces
US20070117341A1 (en) * 2000-11-15 2007-05-24 Texas Instruments Incorporated Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
US20020090833A1 (en) * 2001-01-05 2002-07-11 Mitsubishi Denki Kabushiki Kaisha Method of forming dielectric film and dielectric film
US20020182891A1 (en) * 2001-01-05 2002-12-05 Mitsubishi Denki Kabushiki Kaisha Method of forming dielectric film and dielectric film
US20020111041A1 (en) * 2001-02-12 2002-08-15 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US20050006346A1 (en) * 2002-12-13 2005-01-13 Annapragada Rao V. Method for providing uniform removal of organic material
US20050009356A1 (en) * 2003-05-13 2005-01-13 Akihiro Kojima Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
US20050101135A1 (en) * 2003-11-12 2005-05-12 Lam Research Corporation Minimizing the loss of barrier materials during photoresist stripping
US20050130435A1 (en) * 2003-12-16 2005-06-16 Rao Annapragada Method of preventing damage to porous low-k materials during resist stripping
US20050136644A1 (en) * 2003-12-22 2005-06-23 Semiconductor Leading Edge Technologies, Inc. Method of fabricating a semiconductor device having metal wiring
US20060040474A1 (en) * 2004-08-17 2006-02-23 Jyu-Horng Shieh Low oxygen content photoresist stripping process for low dielectric constant materials
US20060105576A1 (en) * 2004-11-18 2006-05-18 International Business Machines Corporation High ion energy and reative species partial pressure plasma ash process
US20060234511A1 (en) * 2005-04-19 2006-10-19 Elpida Memory, Inc Method for forming a semiconductor device including a plasma ashing treatment for removal of photoresist
US20060258148A1 (en) * 2005-05-10 2006-11-16 Lam Research Corporation Method for resist strip in presence of regular low k and/or porous low k dielectric materials
US20070072403A1 (en) * 2005-09-27 2007-03-29 Oki Electric Industry Co., Ltd. Semiconductor device and method for fabricating the same
US20070090090A1 (en) * 2005-10-26 2007-04-26 Koichi Nakaune Dry etching method
US20070105392A1 (en) * 2005-11-08 2007-05-10 Raymond Joe Batch photoresist dry strip and ash system and process
US20070178637A1 (en) * 2006-01-31 2007-08-02 Samsung Electronics Co., Ltd. Method of fabricating gate of semiconductor device using oxygen-free ashing process

Also Published As

Publication number Publication date
TW200915023A (en) 2009-04-01

Similar Documents

Publication Publication Date Title
EP2129526B1 (en) Metal film protection during printhead fabrication with minimum number of mems processing steps
US7794613B2 (en) Method of fabricating printhead having hydrophobic ink ejection face
US7669967B2 (en) Printhead having hydrophobic polymer coated on ink ejection face
US7976132B2 (en) Printhead having moving roof structure and mechanical seal
EP2158603B1 (en) Method of fabrication mems integrated circuits
CA2675856C (en) Method of fabricating printhead having hydrophobic ink ejection face
US20100271430A1 (en) Printhead provided with individual nozzle enclosures
US20090078675A1 (en) Method of removing photoresist
US8491803B2 (en) Method of hydrophobizing and patterning frontside surface of integrated circuit
WO2011022749A1 (en) Method of removing photoresist and etch-residues from vias
US8500247B2 (en) Nozzle assembly having polymeric coating on moving and stationary portions of roof
WO2009039551A1 (en) Method of removing photoresist
US7862734B2 (en) Method of fabricating nozzle assembly having moving roof structure and sealing bridge
US20110049091A1 (en) Method of removing photoresist and etch-residues from vias
WO2006099652A1 (en) Inkjet printhead having isolated nozzles
TW201107906A (en) Method of removing photoresist and etch-residues from vias
EP2349724B1 (en) Inkjet nozzle assembly having moving roof structure and sealing bridge
EP1871606A1 (en) Method of hydrophobically coating a printhead
US20100128086A1 (en) Printhead including moving portions and sealing bridges

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07815242

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07815242

Country of ref document: EP

Kind code of ref document: A1