WO2009079485A1 - Phosphorus containing si epitaxial layers in n-type source/drain junctions - Google Patents

Phosphorus containing si epitaxial layers in n-type source/drain junctions Download PDF

Info

Publication number
WO2009079485A1
WO2009079485A1 PCT/US2008/086919 US2008086919W WO2009079485A1 WO 2009079485 A1 WO2009079485 A1 WO 2009079485A1 US 2008086919 W US2008086919 W US 2008086919W WO 2009079485 A1 WO2009079485 A1 WO 2009079485A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
layer
dopant
source
epitaxial
Prior art date
Application number
PCT/US2008/086919
Other languages
French (fr)
Inventor
Saurabh Chopra
Zhiyuan Ye
Yihwan Kim
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2009079485A1 publication Critical patent/WO2009079485A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereofย  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereofย  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereofย  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24479Structurally defined web or sheet [e.g., overall dimension, etc.] including variation in thickness
    • Y10T428/24612Composite web or sheet

Definitions

  • Embodiments of the present invention generally relate to methods and apparatus for selective formation of epitaxial layers containing silicon, carbon and a doping material. Specific embodiments pertain to methods and apparatus for the selective formation of n-doped epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices.
  • MOSFET Metal Oxide Semiconductor Field Effect Transistor
  • the amount of current that flows through the channel of a MOS transistor is directly proportional to a mobility of carriers in the channel, and the use of high mobility MOS transistors enables more current to flow and consequently faster circuit performance.
  • Mobility of the carriers in the channel of an MOS transistor can be increased by producing a mechanical stress in the channel.
  • a channel under compressive strain for example, a silicon-germanium channel layer grown on silicon, has significantly enhanced hole mobility to provide a pMOS transistor.
  • a channel under tensile strain for example, a thin silicon channel layer grown on relaxed silicon- germanium, achieves significantly enhanced electron mobility to provide an nMOS transistor.
  • An nMOS transistor channel under tensile strain can also be provided by forming one or more carbon-doped silicon epitaxial layers, which may be complementary to the compressively strained SiGe channel in a pMOS transistor.
  • carbon-doped silicon and silicon-germanium epitaxial layers can be deposited on the source/drain of nMOS and pMOS transistors, respectively.
  • the source and drain areas can be either flat or recessed by selective Si dry etching.
  • nMOS sources and drains covered with carbon-doped silicon epitaxy imposes tensile stress in the channel and increases nMOS drive current.
  • the carbon-doped silicon epitaxial layer prefferably contains substitutional C atoms to induce tensile strain in the channel. Higher channel tensile strain can be achieved with increased substitutional C content in a carbon-doped silicon source and drain.
  • CMOS complementary metal-oxide semiconductor
  • junction depth to be less than 30 nm.
  • Selective epitaxial deposition is often utilized to form epitaxial layers ("epilayers") of silicon-containing materials (e.g., Si, SiGe and Si:C) into the junctions.
  • Si:C in the source/ drain junction regions has been shown to enhance nFET device performance by inducing tensile stress due to its small atomic size compared to Silicon. In order to reduce series resistance, these junctions also need to be heavily n-doped. For example, phosphorus or arsenic can be used for n-doping. If the Si:C is epitaxially grown in the recessed junction areas, both the concentration of substititional carbon (Csub) as well as substitutional phosphorus (Psub) need to be as high as possible for high tensile stress as well as for low series resistance. However, Csub is not stable under excessive amounts of thermal stress as the Si:C film is grown under metastable conditions. For instance, most of the stress is lost after a 1050ยฐ C thermal spike anneal. The challenge is to retain the tensile stress in the channel after multiple anneals while keeping the series resistance as low as possible.
  • Csub substititional carbon
  • Psub substitutional phosphorus
  • the manufacturing conditions for silicon carbon epitaxy may be different for epitaxy having different dopants and dopant concentrations.
  • the incorporation of high levels of dopants (e.g. greater than 10 20 atoms/cm 3 ) into the Si:C epitaxy during deposition is of interest, because the incorporation of high levels of dopants during deposition reduces the need to increase the dopant level using subsequent procedures such as ion implantation.
  • the processing involved in incorporating Csub into the source/drain region may pose significant challenges.
  • the incorporation of high levels of dopants into the Si:C epitaxy, and eliminating carbon completely in favor of a dopant for creating tensile stress may have beneficial effects on the performance of a device while alleviating significant challenges in the epitaxial processing.
  • One embodiment of the present invention relates to methods of forming and processing epitaxial layers containing silicon. Other embodiments relate to methods manufacturing of fabricating transistor devices including epitaxial layers containing silicon and n-dopants such as phosphorus and carbon.
  • a method for epitaxially forming a silicon film on a substrate surface comprising placing a substrate including a monocrystalline surface and secondary surfaces into a process chamber, exposing the substrate to a deposition gas comprising a mix of a silicon source, a carrier gas and an n-type dopant source at a temperature of below about 600 0 C, and creating an epitaxial silicon layer with n-dopant with a level equal to or greater than about 7 x 10 20 atoms cm "3 .
  • the pressure in the process chamber is maintained at about 10 Torr.
  • the n-dopant is phosphorus, and the n-type dopant source comprises phosphine.
  • the silicon source is a higher order silane. According to one or more embodiments of the present invention, the silicon source is selected from the group consisting of thsilane and pentasilane.
  • the level of the n-dopant is at least about 8 X 10 20 atoms/cm 3 . In specific embodiments, the level of the n-dopant is about 1.2 X 10 21 atoms/cm 3 .
  • the temperature during deposition is in a range of about 540 0 C and below about 560 0 C.
  • the n-dopant is a capping layer on top of a silicon carbon layer.
  • the epitaxial layer has a tensile stress created by n-dopants in the layer that is equivalent to the tensile stress that can be created by a concentration of substitutional carbon in the epitaxial layer of about 0.55%.
  • a measured resistivity in the epitaxial layer is greater than about 0.25 mOhm.cm. and lower than 0.5 mOhm.cm.
  • a method wherein the epitaxial film is formed during a fabrication step of a transistor manufacturing process, and the method further comprises forming a gate dielectric on a substrate, forming a gate electrode on the gate dielectric, and forming source/drain regions on the substrate on opposite sides of the electrode and defining a channel region between the source/drain regions.
  • the epitaxial silicon layer with the n-dopant is a layer formed on recessed source/drain areas.
  • the epitaxial silicon layer with the n-dopant is a capping layer on top of a silicon carbon layer formed on recessed source/drain areas.
  • a silicon film on a substrate surface comprising an epitaxially formed silicon layer, the layer including an n-dopant with a concentration being not less than about 7 x 10 20 atoms/cm 3 .
  • the n-dopant is phosphorus.
  • the concentration of phosphorus is about 1 x 10 21 atoms/cm 3 .
  • a silicon film on a substrate surface is provided, wherein a measured resistivity is greater than about 0.25 mOhm.cm. and lower than 0.5 mOhm.cm.
  • the layer also includes substitutional carbon.
  • a silicon film on a substrate surface wherein the epitaxially formed silicon layer has a tensile stress created by n-dopants in the layer that is equivalent to the tensile stress that can be created by a concentration of substitutional carbon in the epitaxially formed silicon layer of about 0.55%.
  • the epitaxially formed silicon layer with n-dopant is a layer formed on recessed source/drain areas.
  • the epitaxially formed silicon layer with n-dopant is a capping layer on top of a silicon carbon layer formed on recessed source/drain areas.
  • Figure 1 is a cross-sectional view of a field effect transistor pair in accordance with an embodiment of the invention.
  • Figure 2 is a cross-sectional view of the PMOS field effect transistor shown in Figure 1 having additional layers formed on the device;
  • Figure 3 is a graph showing a SIMS profile in accordance with an embodiment of the present invention.
  • Figure 4 is a graph showing XRD spectra in accordance with an embodiment of the present invention.
  • Figure 5 is a cross-sectional view of a Si film having a tensile strained Si region in accordance with an embodiment of the present invention.
  • Figure 6 is a graph showing XRD spectra in accordance with another embodiment of the present invention.
  • Embodiments of the invention generally provide methods and apparatus for forming and treating a silicon-containing epitaxial layer. Specific embodiments pertain to methods and apparatus for forming and treating an epitaxial layer during the manufacture of a transistor.
  • epitaxial deposition refers to the deposition of a single crystal layer on a substrate, so that the crystal structure of the deposited layer matches the crystal structure of the substrate.
  • an epitaxial layer or film is a single crystal layer or film having a crystal structure that matches the crystal structure of the substrate. Epitaxial layers are distinguished from bulk substrates and polysilicon layers.
  • silicon-containing materials, compounds, films or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorus gallium and/or aluminum.
  • Compounds or alloys of silicon-containing materials may be represented by an abbreviation, such as Si for silicon, SiGe for silicon germanium, Si:C for silicon carbon and SiGeC for silicon germanium carbon.
  • Other compounds are SiP for silicon phosphorus and SiCP for silicon carbon phosphorus.
  • the abbreviations do not represent chemical equations with stoichiomethcal relationships, nor represent any particular reduction/oxidation state of the silicon-containing materials.
  • One or more embodiments of the invention generally provide processes to selectively and epitaxially deposit silicon-containing materials on monocrystalline surfaces of a substrate during fabrication of electronic devices.
  • the epitaxial process typically includes repeating a cycle of a deposition process and an etching process until the desired thickness of an epitaxial layer is grown.
  • Exemplary alternating deposition and etch processes are disclosed in commonly assigned and copending United States Patent application serial no. 11/001 ,774, published as United States Patent Application Publication No. 2006/0115934, entitled, Selective Epitaxy Process With Alternating Gas Supply, the entire content of which is incorporated herein by reference.
  • the deposition process includes exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas.
  • the deposition gas may also include a germanium source and/or carbon source, as well as a dopant source.
  • the deposition gas contains a silicon source, a carrier gas, and a dopant source, but no carbon source.
  • the deposition gas contains a sufficient amount of an n-type dopant precursor that results in the epitaxial film containing at least about 7 X 10 20 atoms/cm 3 of an n-type dopant.
  • the final epitaxial film contains at least about 7.
  • these levels of dopant concentration will be referred to as heavily doped with an n-type dopant.
  • An example of a suitable n-type dopant includes phosphorus.
  • the etching gas includes a carrier gas and an etchant, such as chlorine gas or hydrogen chloride.
  • an etchant such as chlorine gas or hydrogen chloride.
  • the etching gas removes silicon-containing materials deposited during the deposition process. During the etching process, the polycrystalline/amorphous layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline/amorphous silicon- containing material on the secondary surfaces.
  • a cycle of the deposition and etching processes may be repeated as needed to obtain the desired thickness of silicon- containing materials.
  • the silicon-containing materials which can be deposited by embodiments of the invention include silicon, silicon germanium, silicon carbon, silicon germanium carbon, and variants thereof, including dopants.
  • Nitrogen is a possible carrier gas due to cost considerations associated with the use of argon and helium as a carrier gas. Despite the fact that nitrogen is generally less expensive than argon, according to one or more embodiments of the invention, hydrogen may be used instead as a carrier gas, particularly in embodiments in which high concentrations of n-dopant are desired.
  • the use of hydrogen has as an advantage the elimination of oxygen and its side effects during deposition
  • blanket or nonselective epitaxy with alternating steps of deposition and purge results in improved crystallinity of epitaxial films grown using a higher order silane compared to continuous deposition.
  • continuous deposition may be used for creating epitaxial layers.
  • higher order silane refers to a disilane or higher silane precursor.
  • higher order silane refers to disilane, neopentasilane (NPS), or a mixture of these.
  • the silicon source gas is a "higher order silane".
  • An exemplary process includes loading a substrate into a process chamber and adjusting the conditions within the process chamber to a desired temperature and pressure. Then, a deposition process is initiated to form an epitaxial layer on a monocrystalline surface of the substrate. The deposition process is then terminated. The thickness of the epitaxial layer is then determined. If the predetermined thickness of the epitaxial layer is achieved, then the epitaxial process is terminated. However, if the predetermined thickness is not achieved, then steps of deposition and purge are repeated as a cycle until the predetermined thickness is achieved. Further details of this exemplary process are described below.
  • the substrates may be unpatterned or patterned.
  • Patterned substrates are substrates that include electronic features formed into or onto the substrate surface.
  • the patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non-monocrystalline, such as a dielectric, polycrystalline or amorphous surfaces.
  • Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon.
  • Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
  • the conditions in the process chamber are adjusted to a predetermined temperature and pressure.
  • the temperature is tailored to the particular conducted process.
  • the process chamber is maintained at a temperature below about 600 0 C during deposition and above about 600 0 C during etching.
  • the appropriate temperature to conduct epitaxial process may depend on the particular precursors used to deposit the silicon- containing.
  • the temperature during deposition is maintained at a range of below 580ยฐC and above 500 0 C.
  • the temperature during deposition is maintained at about 550ยฐC
  • the process chamber is usually maintained at a pressure from about 0.1 Torr to 50 Torr during deposition.
  • the deposition pressure is maintained at about 10 Torr.
  • the pressure may fluctuate during and between process steps, but is generally maintained constant.
  • the pressure in the chamber is ramped up to at least about 10 times the pressure used during deposition.
  • the substrate is exposed to a deposition gas to form an epitaxial layer.
  • the substrate is exposed to the deposition gas for a period of time of about 0.5 seconds to about 30 seconds, for example, from about 1 second to about 20 seconds, and more specifically from about 5 seconds to about 10 seconds.
  • the deposition step lasts for about 10 to 11 seconds.
  • the deposition time is about 40 seconds.
  • the time of deposition is determined by the growth rate and thickness of the layer.
  • the specific exposure time of the deposition process is determined in relation to the exposure time during a subsequent etching process, as well as particular precursors and temperature used in the process.
  • the substrate is exposed to the deposition gas long enough to form a maximized thickness of an epitaxial layer
  • the deposition gas contains at least a silicon source and a carrier gas, and may contain at least one secondary elemental source, such as a carbon source. Also, the deposition gas may further include a dopant compound to provide a source of a dopant, such as boron, arsenic, phosphorus, gallium and/or aluminum. In one embodiment the deposition gas includes a phosphorus source. In a further embodiment the phosphorus source gas is phosphine (PH 3 ).
  • the silicon source is usually provided into the process chamber at a rate in a range from about 5 seem to about 500 seem, specifically from about 10 seem to about 300 seem, and more specifically from about 50 seem to about 200 seem, for example, about 135 seem. In a specific embodiment, a higher silane is flowed at about 135 seem.
  • Organosilane compounds have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon-containing compound.
  • thsilane or pentasilane in an hydrogen-containing carrier gas is a suitable silicon-containing source and carrier gas combination.
  • the silicon source is usually provided into the process chamber along with a carrier gas.
  • the carrier gas has a flow rate from about 1 slm (standard liters per minute) to about 100 slm.
  • Carrier gases may include nitrogen (N 2 ), hydrogen (H 2 ), argon, helium and combinations thereof.
  • the deposition gas used also contains in accordance with an aspect of the present invention at least one secondary elemental source, such as a dopant source and/or a carbon source.
  • a carbon source may be added during deposition to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon material.
  • a carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, for example, from about 0.5 seem to about 10 seem, and more specifically, from about 1 seem to about 5 seem, for example, about 2 seem.
  • the carbon source may be diluted in hydrogen gas and flowed at a rate of 300 seem.
  • Carbon sources useful to deposit silicon-containing compounds include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl.
  • Such carbon sources include methylsilane (C H 3Si H 3), dimethylsilane ((C H 3)2Si H 2), ethylsilane (C H 3C H2 Si H 3), methane (C H4 ), ethylene ( C 2H4), ethyne (C2H2), propane (CSHS), propene (CSH โ‡ ), butyne (owe), as well as others.
  • the carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 atomic %, particularly from about 1 atomic % to about 3 atomic %, for example 1.5 atomic %. In one embodiment, the carbon concentration of an epitaxial layer is less than 0.6 %, with a co-existing concentration of P dopant of greater than 7 x 1 020 atoms c m โ‡ 3 . In a further embodiment the concentration of carbon is 0 % or about 0% and the concentration of an n-dopant is 1 x 1 021 atoms c m โ‡ 3 . In one embodiment the carbon source is a gas which is selected from methyl silane, dimethyl silane and thmethyl silane.
  • the deposition gas used during deposition may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorus, gallium or aluminum.
  • Dopants provide the deposited silicon-containing compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristic.
  • the level of n- dopant such as phosphorus in an epitaxial layer is increased significantly over commonly achieved concentrations in order to create significant tensile stress.
  • the silicon-containing compound is doped n-type with phosphorus to a concentration in the range from about 5 x 10 20 atoms/cm 3 to about 1 x 10 21 atoms/cm 3 .
  • the dopant level exceeds about 8 X 10 20 atoms/cm 3 .
  • a dopant source is usually provided into the process chamber during deposition.
  • Alkylphosphines include trimethylphosphine ((CH 33 P), dimethylphosphine ((CH 3 ) 2 PH), triethylphosphine ((CH 3 CH 23 P) and diethylphosphine ((CH 3 CH 2 )2PH).
  • a phosphorus source gas is used, which may be phosphine.
  • the flow of a phosphine gas is in a range of 2 to 20 seem.
  • the phosphine gas is provided in a rate of 10 seem.
  • a silicon precursor gas from a higher silane with a flow of 135 seem and phosphine as a phosphorus source with a flow of 10 seem is used.
  • the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump.
  • the purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminants.
  • the process chamber may be purged for about 10 seconds by flowing a carrier gas at about 5 slm.
  • a cycle of deposition and purge may be repeated for numerous cycles. In one embodiment, the deposition and purge cycle is repeated about 30 times. In another embodiment a continuous deposition process may be used.
  • a blanket or non-selective deposition is performed at low temperatures, for example, below about 600ยฐ C and lower, using a higher order silane (e.g. disilane and higher) source.
  • a higher order silane e.g. disilane and higher
  • embodiments of the present invention provide selective epitaxy processes for silicon-containing films, for example, Si:C films with lower substitutional carbon concentration ( โ‡ 1 %), but with higher concentration of n-dopants up to 1 x 10 21 cm โ€œ3 which can be used for forming tensile strained channel of N-type MOSFET structure when epitaxial films are grown on recessed source/drain of a transistor.
  • Si:C films with lower substitutional carbon concentration โ‡ 1 %)
  • n-dopants up to 1 x 10 21 cm "3 which can be used for forming tensile strained channel of N-type MOSFET structure when epitaxial films are grown on recessed source/drain of a transistor.
  • an epitaxial layer is achieved with a concentration of n-dopant such as phosphorus that achieves the same amount of tensile stress in the layer as up to 0.3 % carbon.
  • FIGs. 3 and 4 show that an epitaxial layer is created to result in the same amount of tensile strain that an epitaxial layer with 0.85 % substitutional carbon concentration has, but wherein 0.3% substitutional carbon is replaced by a high concentration of n-dopant.
  • FIG. 6 has a graph of XRD data from films with no carbon and all phosphorus, which shows โ‡ 700 arc second peak shift. This is equivalent to strain induced by 0.55% substitutional carbon.
  • FIG. 1 illustrates portions of a cross sectional view of a FET pair in a typical CMOS device.
  • Device 100 comprises a semiconductor substrate after forming wells to provide source/drain regions, gate dielectric, and gate electrode of an NMOS device and PMOS device.
  • the device 100 can be formed using conventional semiconductor processes such as growing single crystal silicon and formation of shallow trench isolation structures by trench etching and growing or depositing dielectric in the trench openings. Detailed procedures for forming these various structures are known in the art and are not described further herein.
  • Device 100 comprises a semiconductor substrate 155, for example, a silicon substrate, doped with a p-type material, a p-type epitaxial silicon layer 165 on substrate 155, a p-type well region 120 and an n-type well region 150 defined in epitaxial layer 165, an n-type transistor (NMOS FET) 110 defined in p-well 120 and a p-type transistor (PMOS FET) 140 defined in n-well 150.
  • First isolation region 158 electrically isolates NMOS 110 and PMOS 140 transistors
  • second isolation region 160 electrically isolates the pair of transistors 110 and 140 from other semiconductor devices on substrate 155.
  • NMOS transistor 110 comprises a gate electrode 122, first source region 114 and a drain region 116.
  • the thickness of the NMOS gate electrode 122 is scalable and may be adjusted based on considerations related to device performance.
  • NMOS gate electrode 122 has a work function corresponding to the work function of a N-type device.
  • the source and drain regions are n-type regions on opposite sides of the gate electrode 122.
  • Channel region 118 is interposed between source region 114 and drain region 116.
  • a gate dielectric layer 112 separates channel region 118 and gate electrode 122. Processes for forming the NMOS gate electrode 122 and dielectric layer are known in the art and are not discussed further herein.
  • PMOS transistor 140 comprises a gate electrode 152, a source region 144 and a drain region 146.
  • the thickness of the PMOS gate electrode 152 is scalable and may be adjusted based on considerations related to device performance.
  • PMOS gate electrode 152 has a work function corresponding to the work function of a N-type device.
  • the source and drain regions are p-type regions on opposite sides of gate electrode 152.
  • Channel region 148 is interposed between source region 144 and drain region 146.
  • a gate dielectric 142 separates channel region 148 and gate electrode 152.
  • Dielectric 142 electrically insulates gate electrode 152 from channel region 148.
  • Fig. 2 shows a view of additional details of the NMOS device 110 of Fig. 1 after formation of spacers, layers over the source/drain regions, for example, suicide layers, and formation of the etch stop.
  • the PMOS device may contain similar spacers and layers that may be tailored in dimensions and/or composition to affect the stress induced in the channel of the NMOS device as will be described further below. However, for illustration purposes, only NMOS device is shown and described in detail.
  • Fig. 2 shows spacers 175 that may be formed from suitable dielectric material incorporated around the gate 119. Offset spacers 177 may also be provided, which surround each of the spacers 175. Processes for forming shapes, sizes, and thickness of spacers 175 and 177 are known in the art and are not further described herein.
  • a metal suicide layer 179 may be formed over the source region 114 and drain region 116.
  • the suicide layer 179 may be formed from a suitable metal such as nickel, titanium, or cobalt by any suitable process such as sputtering or PVD (Physical Vapor Deposition).
  • the suicide layer 179 may diffuse into portions of the underlying surfaces.
  • Elevation of the drain region 116 is shown by the arrow 181 , which is shown as the distance from the substrate surface 180 to the top of the suicide layer 179. Facet 183 of source drain region is shown as the angled surface.
  • the exemplary device described above may be modified to include a source/drain or source/drain extension having a Si:C epitaxial layer that may be further modified according to the methods described herein.
  • the epitaxial layer in accordance with an aspect of the present invention may also contain a very high concentration of above 5 x 10 20 atoms cm "3 of phosphorus.
  • FIG. 5 shows a cross- sectional view of a device which identifies the epitaxial layer 501 and the tensile strained region 502.
  • Csub in silicon as Si:C is attractive for creating tensile stress it has some disadvantages.
  • Csub is not stable under excessive amounts of thermal stress as the Si:C film is grown under metastable conditions. For instance, most of the stress is lost after a 1050ยฐ C thermal spike anneal. The problem is to retain the tensile stress in the channel after multiple anneals while keeping the series resistance as low as possible.
  • One way to achieve tensile stress in the channel in addition to low series resistance is to heavily dope the junctions with phosphorus. Due to its smaller size compared to silicon a P atom can act as a carbon atom does to induce tensile stress in the channel. The advantage of using phosphorus is that at the same time it creates stress it also keeps the series resistance low. Another advantage is the thermal stability of a SiP compared to Si:C or SiCP. Since there is no carbon present in SiP, this material is believed to be much more thermally stable under excessive thermal cycles.
  • a substrate with an epitaxial layer containing a concentration of phosphorus of over 8 x 10 20 cm "3 was created. Its concentration of phosphorus was measured at least 9 days after creating the layer and no measurable change had occurred.
  • the phosphorus concentration in accordance with an aspect of the present invention is stable and the tensile stress created by the phosphorus is stable.
  • SiPC is epitaxially grown on a silicon substrate using a higher order silicon precursor as well as carbon and phosphorus sources. This method can dope the silicon with carbon as well as phosphorus to very high levels, and higher than the reported limited solid solubility level of phosphorus.
  • films were grown with a phosphorus concentration as high as 1 X 10 21 cm โ€œ3 , as is shown in FIG. 3 with a secondary ion mass spectrometry (SIMS) graph.
  • FIG. 3 The SIMS profile of heavily phosphorus and carbon doped Si epitaxial film is shown on FIG. 3.
  • the XRD spectra in FIG. 4 show the substitutional carbon to be approximately 0.85 %.
  • the total carbon concentration derived from the SIMS data of FIG. 3 is approximately 0.57%. This demonstrates that a concentration of 8.7 x 10 20 cm "3 of P is able to induce stress equivalent to as much as approximately 0.3 % carbon.
  • Si films have been grown using P doping with a measured concentration up to 1.2 x 10 21 cm โ€œ3 and no Csub, with a resistivity of 0.3 mOhm.cm, and which shows a tensile strain that is approximately equivalent to tensile strain caused by 0.55% Csub.
  • FIG. 6 is a graph of XRD data from films with no carbon and all phosphorus, which shows โ‡ 700 arc second peak shift. This is equivalent to strain induced by 0.55% substitutional carbon.
  • one may achieve a Si film by epitaxial process with Si and P sources and followed by annealing with a resistivity lower than 0.25 mOhm.cm.
  • an achievable resistivity of 0.5 mOhm.cm in an epitaxial layer is considered to be very low. Accordingly, a resistivity of about .35 mOhm.cm, and more particularly, about .3 mOhm.cm and lower (for example, about .25 mOhm.cm) can be achieved.
  • a SiPC or a SiP layer is formed by the methods disclosed. Such a layer may be deposited in an area of the device that requires very low resistance.
  • a SiP layer can be deposited on top of a silicon carbon epitaxy layer as a capping layer.
  • an epitaxial layer combining silicon, carbon and phosphorus can be created.
  • an SiP or SiPC epitaxial layer as a capping layer on top of a silicon carbon layer formed on recessed source/drain areas. Such layers may also be directly formed on recessed source/drain areas.
  • a device with a concentration of phosphorus greater than 7 x 10 20 cm "3 is formed by using the following process parameters in an epitaxial growing process: a phosphine gas flow provided at a rate in the range of about 5-20 seem (for example, about 10 seem), a silicon precursor gas from a higher silane with a flow in the range of about 100 to 150 seem (for example, about 135 seem) and a hydrogen carrier gas with a flow rate in the range of about 2000 to about 5000 seem (for example, about 4000 seem) in a mix of gases with 100% mixing ratio.
  • a phosphine gas flow provided at a rate in the range of about 5-20 seem (for example, about 10 seem)
  • a silicon precursor gas from a higher silane with a flow in the range of about 100 to 150 seem for example, about 135 seem
  • a hydrogen carrier gas with a flow rate in the range of about 2000 to about 5000 seem for example, about 4000 seem

Abstract

Methods for formation of epitaxial layers containing n-doped silicon are disclosed. Specific embodiments pertain to the formation and treatment of epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices. In specific embodiments, the formation of the n-doped epitaxial layer involves exposing a substrate in a process chamber to deposition gases including a silicon source, a carbon source and an n-dopant source. An epitaxial layer may have considerable tensile stress which may be created in a significant amount by a high concentration of n-dopant. A layer having n-dopant may also have substitutional carbon. Phosphorus as an n-dopant with a high concentration is provided. A substrate having an epitaxial layer with a high level of n-dopant is also disclosed.

Description

PHOSPHORUS CONTAINING SI EPITAXIAL LAYERS IN N-TYPE SOURCE/ DRAIN
JUNCTIONS
BACKGROUND
[0001] Embodiments of the present invention generally relate to methods and apparatus for selective formation of epitaxial layers containing silicon, carbon and a doping material. Specific embodiments pertain to methods and apparatus for the selective formation of n-doped epitaxial layers in semiconductor devices, for example, Metal Oxide Semiconductor Field Effect Transistor (MOSFET) devices.
[0002] The amount of current that flows through the channel of a MOS transistor is directly proportional to a mobility of carriers in the channel, and the use of high mobility MOS transistors enables more current to flow and consequently faster circuit performance. Mobility of the carriers in the channel of an MOS transistor can be increased by producing a mechanical stress in the channel. A channel under compressive strain, for example, a silicon-germanium channel layer grown on silicon, has significantly enhanced hole mobility to provide a pMOS transistor. A channel under tensile strain, for example, a thin silicon channel layer grown on relaxed silicon- germanium, achieves significantly enhanced electron mobility to provide an nMOS transistor.
[0003] An nMOS transistor channel under tensile strain can also be provided by forming one or more carbon-doped silicon epitaxial layers, which may be complementary to the compressively strained SiGe channel in a pMOS transistor. Thus, carbon-doped silicon and silicon-germanium epitaxial layers can be deposited on the source/drain of nMOS and pMOS transistors, respectively. The source and drain areas can be either flat or recessed by selective Si dry etching. When properly fabricated, nMOS sources and drains covered with carbon-doped silicon epitaxy imposes tensile stress in the channel and increases nMOS drive current. [0004] It is desirable for the carbon-doped silicon epitaxial layer to contain substitutional C atoms to induce tensile strain in the channel. Higher channel tensile strain can be achieved with increased substitutional C content in a carbon-doped silicon source and drain.
[0005] Generally, sub-100 nm CMOS (complementary metal-oxide semiconductor) devices require a junction depth to be less than 30 nm. Selective epitaxial deposition is often utilized to form epitaxial layers ("epilayers") of silicon-containing materials (e.g., Si, SiGe and Si:C) into the junctions.
[0006] Si:C in the source/ drain junction regions has been shown to enhance nFET device performance by inducing tensile stress due to its small atomic size compared to Silicon. In order to reduce series resistance, these junctions also need to be heavily n-doped. For example, phosphorus or arsenic can be used for n-doping. If the Si:C is epitaxially grown in the recessed junction areas, both the concentration of substititional carbon (Csub) as well as substitutional phosphorus (Psub) need to be as high as possible for high tensile stress as well as for low series resistance. However, Csub is not stable under excessive amounts of thermal stress as the Si:C film is grown under metastable conditions. For instance, most of the stress is lost after a 1050ยฐ C thermal spike anneal. The challenge is to retain the tensile stress in the channel after multiple anneals while keeping the series resistance as low as possible.
[0007] Current selective epitaxy processes usually require a high reaction temperature, such as about 800ยฐ C, 1 ,000ยฐ C or higher. Such high temperatures are not desirable during a fabrication process due to thermal budget considerations and possible uncontrolled nitridation reactions to the substrate surface. In addition, most of the C atoms incorporated through typical selective Si:C epitaxy processes at the higher process temperatures occupy non-substitutional (i.e. interstitial) sites of the Si lattice. By lowering growth temperature below about 600ยฐ C, a higher fraction of substitutional carbon level can be achieved (e.g. nearly 100% at growth temperature of 550ยฐC), however, the slow growth rate at these lower temperatures is undesirable for device applications, and such selective processing might not be possible at the lower temperatures. [0008] The manufacturing conditions for silicon carbon epitaxy may be different for epitaxy having different dopants and dopant concentrations. The incorporation of high levels of dopants (e.g. greater than 1020 atoms/cm3) into the Si:C epitaxy during deposition is of interest, because the incorporation of high levels of dopants during deposition reduces the need to increase the dopant level using subsequent procedures such as ion implantation. Also, despite the benefits of Csub, the processing involved in incorporating Csub into the source/drain region may pose significant challenges. Thus, the incorporation of high levels of dopants into the Si:C epitaxy, and eliminating carbon completely in favor of a dopant for creating tensile stress may have beneficial effects on the performance of a device while alleviating significant challenges in the epitaxial processing.
[0009] Accordingly, improved and novel methods are required for providing tensile stress in the channel and providing low series resistance while depending less on the presence of substitutional carbon.
SUMMARY OF THE INVENTION
[0010] One embodiment of the present invention relates to methods of forming and processing epitaxial layers containing silicon. Other embodiments relate to methods manufacturing of fabricating transistor devices including epitaxial layers containing silicon and n-dopants such as phosphorus and carbon.
[0011] In accordance with an aspect of the present invention a method is provided for epitaxially forming a silicon film on a substrate surface, comprising placing a substrate including a monocrystalline surface and secondary surfaces into a process chamber, exposing the substrate to a deposition gas comprising a mix of a silicon source, a carrier gas and an n-type dopant source at a temperature of below about 600 0C, and creating an epitaxial silicon layer with n-dopant with a level equal to or greater than about 7 x 1020 atoms cm"3.
[0012] In accordance with one embodiment of the present invention the pressure in the process chamber is maintained at about 10 Torr. In one or more embodiments, the n-dopant is phosphorus, and the n-type dopant source comprises phosphine. [0013] In accordance with one or more embodiments, the silicon source is a higher order silane. According to one or more embodiments of the present invention, the silicon source is selected from the group consisting of thsilane and pentasilane. In certain embodiments, the level of the n-dopant is at least about 8 X 1020 atoms/cm3. In specific embodiments, the level of the n-dopant is about 1.2 X 1021 atoms/cm3.
[0014] According to one or more embodiments, the temperature during deposition is in a range of about 540 0C and below about 560 0C. In accordance with another embodiment, the n-dopant is a capping layer on top of a silicon carbon layer. In one or more embodiments, the epitaxial layer has a tensile stress created by n-dopants in the layer that is equivalent to the tensile stress that can be created by a concentration of substitutional carbon in the epitaxial layer of about 0.55%. In specific embodiments, a measured resistivity in the epitaxial layer is greater than about 0.25 mOhm.cm. and lower than 0.5 mOhm.cm.
[0015] In accordance with a further aspect of the present invention a method is provided, wherein the epitaxial film is formed during a fabrication step of a transistor manufacturing process, and the method further comprises forming a gate dielectric on a substrate, forming a gate electrode on the gate dielectric, and forming source/drain regions on the substrate on opposite sides of the electrode and defining a channel region between the source/drain regions. In one embodiment, the epitaxial silicon layer with the n-dopant is a layer formed on recessed source/drain areas. In one or more embodiments, the epitaxial silicon layer with the n-dopant is a capping layer on top of a silicon carbon layer formed on recessed source/drain areas.
[0016] In accordance with another aspect of the present invention a silicon film on a substrate surface is provided, comprising an epitaxially formed silicon layer, the layer including an n-dopant with a concentration being not less than about 7 x 1020 atoms/cm3. In one embodiment, the n-dopant is phosphorus. In specific embodiments, the concentration of phosphorus is about 1 x 1021 atoms/cm3. In another specific embodiment, a silicon film on a substrate surface is provided, wherein a measured resistivity is greater than about 0.25 mOhm.cm. and lower than 0.5 mOhm.cm. In certain embodiments, the layer also includes substitutional carbon. [0017] In accordance with a further aspect of the present invention a silicon film on a substrate surface is provided, wherein the epitaxially formed silicon layer has a tensile stress created by n-dopants in the layer that is equivalent to the tensile stress that can be created by a concentration of substitutional carbon in the epitaxially formed silicon layer of about 0.55%. In one embodiment, the epitaxially formed silicon layer with n-dopant is a layer formed on recessed source/drain areas. In specific embodiments, the epitaxially formed silicon layer with n-dopant is a capping layer on top of a silicon carbon layer formed on recessed source/drain areas.
[0018] The foregoing has outlined rather broadly certain features and technical advantages of the present invention. It should be appreciated by those skilled in the art that the specific embodiments disclosed may be readily utilized as a basis for modifying or designing other structures or processes within the scope of the present invention. It should also be realized by those skilled in the art that such equivalent constructions do not depart from the spirit and scope of the invention as set forth in the appended claims.
BRIEF DESCRIPTION OF THE DRAWINGS
[0019] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0020] Figure 1 is a cross-sectional view of a field effect transistor pair in accordance with an embodiment of the invention;
[0021] Figure 2 is a cross-sectional view of the PMOS field effect transistor shown in Figure 1 having additional layers formed on the device;
[0022] Figure 3 is a graph showing a SIMS profile in accordance with an embodiment of the present invention; [0023] Figure 4 is a graph showing XRD spectra in accordance with an embodiment of the present invention;
[0024] Figure 5 is a cross-sectional view of a Si film having a tensile strained Si region in accordance with an embodiment of the present invention; and
[0025] Figure 6 is a graph showing XRD spectra in accordance with another embodiment of the present invention.
DETAILED DESCRIPTION
[0026] Embodiments of the invention generally provide methods and apparatus for forming and treating a silicon-containing epitaxial layer. Specific embodiments pertain to methods and apparatus for forming and treating an epitaxial layer during the manufacture of a transistor.
[0027] As used herein, epitaxial deposition refers to the deposition of a single crystal layer on a substrate, so that the crystal structure of the deposited layer matches the crystal structure of the substrate. Thus, an epitaxial layer or film is a single crystal layer or film having a crystal structure that matches the crystal structure of the substrate. Epitaxial layers are distinguished from bulk substrates and polysilicon layers.
[0028] Throughout the application, the terms "silicon-containing" materials, compounds, films or layers should be construed to include a composition containing at least silicon and may contain germanium, carbon, boron, arsenic, phosphorus gallium and/or aluminum. Compounds or alloys of silicon-containing materials may be represented by an abbreviation, such as Si for silicon, SiGe for silicon germanium, Si:C for silicon carbon and SiGeC for silicon germanium carbon. Other compounds are SiP for silicon phosphorus and SiCP for silicon carbon phosphorus. The abbreviations do not represent chemical equations with stoichiomethcal relationships, nor represent any particular reduction/oxidation state of the silicon-containing materials. [0029] One or more embodiments of the invention generally provide processes to selectively and epitaxially deposit silicon-containing materials on monocrystalline surfaces of a substrate during fabrication of electronic devices. A substrate containing a monocrystalline surface (e.g., silicon or silicon germanium) and at least a secondary surface, such as an amorphous surface and/or a polycrystalline surface (e.g., oxide or nitride), is exposed to an epitaxial process to form an epitaxial layer on the monocrystalline surface while forming limited or no polycrystalline layer on the secondary surfaces. The epitaxial process typically includes repeating a cycle of a deposition process and an etching process until the desired thickness of an epitaxial layer is grown. Exemplary alternating deposition and etch processes are disclosed in commonly assigned and copending United States Patent application serial no. 11/001 ,774, published as United States Patent Application Publication No. 2006/0115934, entitled, Selective Epitaxy Process With Alternating Gas Supply, the entire content of which is incorporated herein by reference.
[0030] In one or more embodiments, the deposition process includes exposing the substrate surface to a deposition gas containing at least a silicon source and a carrier gas. The deposition gas may also include a germanium source and/or carbon source, as well as a dopant source. In one embodiment the deposition gas contains a silicon source, a carrier gas, and a dopant source, but no carbon source. In particular embodiments, the deposition gas contains a sufficient amount of an n-type dopant precursor that results in the epitaxial film containing at least about 7 X 1020 atoms/cm3 of an n-type dopant. In specific embodiments, the final epitaxial film contains at least about 7. X 1020 to 1 X 1021 atoms/cm3 of an n-type dopant, and more specifically, at least about 1 X 1021 atoms/cm3 of an n-type dopant. As used herein, these levels of dopant concentration will be referred to as heavily doped with an n-type dopant. An example of a suitable n-type dopant includes phosphorus. During the deposition process, an epitaxial layer is formed on the monocrystalline surface of the substrate, while a polycrystalline/amorphous layer is formed on secondary surfaces, such as dielectric, amorphous and/or polycrystalline surfaces, which will be collectively referred to as "secondary surfaces". Subsequently, the substrate is exposed to an etching gas. Typically, the etching gas includes a carrier gas and an etchant, such as chlorine gas or hydrogen chloride. However, according to one or more embodiments, applicants determined that effective etching of heavily doped n-type films can be etched only with hydrogen chloride, and not with chlorine gas. The etching gas removes silicon-containing materials deposited during the deposition process. During the etching process, the polycrystalline/amorphous layer is removed at a faster rate than the epitaxial layer. Therefore, the net result of the deposition and etching processes forms epitaxially grown silicon-containing material on monocrystalline surfaces while minimizing growth, if any, of polycrystalline/amorphous silicon- containing material on the secondary surfaces. A cycle of the deposition and etching processes may be repeated as needed to obtain the desired thickness of silicon- containing materials. The silicon-containing materials which can be deposited by embodiments of the invention include silicon, silicon germanium, silicon carbon, silicon germanium carbon, and variants thereof, including dopants.
[0031] Nitrogen is a possible carrier gas due to cost considerations associated with the use of argon and helium as a carrier gas. Despite the fact that nitrogen is generally less expensive than argon, according to one or more embodiments of the invention, hydrogen may be used instead as a carrier gas, particularly in embodiments in which high concentrations of n-dopant are desired. The use of hydrogen has as an advantage the elimination of oxygen and its side effects during deposition
[0032] According to a first embodiment of the invention, blanket or nonselective epitaxy with alternating steps of deposition and purge results in improved crystallinity of epitaxial films grown using a higher order silane compared to continuous deposition. In a further embodiment continuous deposition may be used for creating epitaxial layers. As used herein, "higher order silane" refers to a disilane or higher silane precursor. In certain specific embodiments, "higher order silane" refers to disilane, neopentasilane (NPS), or a mixture of these. In one embodiment in accordance with an aspect of the present invention the silicon source gas is a "higher order silane". In one embodiment higher order silicon precursors are used from a group of silicon precursors which include trisilane, and pentasilane. In a specific embodiment, trisilane or pentasilane are used as silicon precursor gas. [0033] An exemplary process includes loading a substrate into a process chamber and adjusting the conditions within the process chamber to a desired temperature and pressure. Then, a deposition process is initiated to form an epitaxial layer on a monocrystalline surface of the substrate. The deposition process is then terminated. The thickness of the epitaxial layer is then determined. If the predetermined thickness of the epitaxial layer is achieved, then the epitaxial process is terminated. However, if the predetermined thickness is not achieved, then steps of deposition and purge are repeated as a cycle until the predetermined thickness is achieved. Further details of this exemplary process are described below.
[0034] The substrates may be unpatterned or patterned. Patterned substrates are substrates that include electronic features formed into or onto the substrate surface. The patterned substrate usually contains monocrystalline surfaces and at least one secondary surface that is non-monocrystalline, such as a dielectric, polycrystalline or amorphous surfaces. Monocrystalline surfaces include the bare crystalline substrate or a deposited single crystal layer usually made from a material such as silicon, silicon germanium or silicon carbon. Polycrystalline or amorphous surfaces may include dielectric materials, such as oxides or nitrides, specifically silicon oxide or silicon nitride, as well as amorphous silicon surfaces.
[0035] After loading a substrate into the process chamber, the conditions in the process chamber are adjusted to a predetermined temperature and pressure. The temperature is tailored to the particular conducted process. Generally, the process chamber is maintained at a temperature below about 6000C during deposition and above about 6000C during etching. The appropriate temperature to conduct epitaxial process may depend on the particular precursors used to deposit the silicon- containing. In one embodiment, the temperature during deposition is maintained at a range of below 580ยฐC and above 5000C. In a further embodiment, the temperature during deposition is maintained at about 550ยฐC
[0036] The process chamber is usually maintained at a pressure from about 0.1 Torr to 50 Torr during deposition. In one embodiment, the deposition pressure is maintained at about 10 Torr. The pressure may fluctuate during and between process steps, but is generally maintained constant. During etching, the pressure in the chamber is ramped up to at least about 10 times the pressure used during deposition.
[0037] During the deposition process the substrate is exposed to a deposition gas to form an epitaxial layer. The substrate is exposed to the deposition gas for a period of time of about 0.5 seconds to about 30 seconds, for example, from about 1 second to about 20 seconds, and more specifically from about 5 seconds to about 10 seconds. In a specific embodiment, the deposition step lasts for about 10 to 11 seconds. In a further specific embodiment using a deposition and purge approach the deposition time is about 40 seconds. In another embodiment using continuous deposition the time of deposition is determined by the growth rate and thickness of the layer. The specific exposure time of the deposition process is determined in relation to the exposure time during a subsequent etching process, as well as particular precursors and temperature used in the process. Generally, the substrate is exposed to the deposition gas long enough to form a maximized thickness of an epitaxial layer
[0038] In one or more embodiments, the deposition gas contains at least a silicon source and a carrier gas, and may contain at least one secondary elemental source, such as a carbon source. Also, the deposition gas may further include a dopant compound to provide a source of a dopant, such as boron, arsenic, phosphorus, gallium and/or aluminum. In one embodiment the deposition gas includes a phosphorus source. In a further embodiment the phosphorus source gas is phosphine (PH3).
[0039] The silicon source is usually provided into the process chamber at a rate in a range from about 5 seem to about 500 seem, specifically from about 10 seem to about 300 seem, and more specifically from about 50 seem to about 200 seem, for example, about 135 seem. In a specific embodiment, a higher silane is flowed at about 135 seem. Organosilanes include compounds with the empirical formula RySiฯ‡H(2x+2-y), where R=methyl, ethyl, propyl or butyl, such as methylsilane ((CH3)SiH3), dimethylsilane ((CHs)2SiH2), ethylsilane ((CH3CH2)SiH3), methyldisilane ((CH3)Si2H5), dimethyldisilane ((CH3)2Si2H4) and hexamethyldisilane ((CH3)6Si2). Organosilane compounds have been found to be advantageous silicon sources as well as carbon sources in embodiments which incorporate carbon in the deposited silicon-containing compound. According to one or more embodiments, thsilane or pentasilane in an hydrogen-containing carrier gas is a suitable silicon-containing source and carrier gas combination.
[0040] The silicon source is usually provided into the process chamber along with a carrier gas. The carrier gas has a flow rate from about 1 slm (standard liters per minute) to about 100 slm. Carrier gases may include nitrogen (N2), hydrogen (H2), argon, helium and combinations thereof. Hydrogen carrier gas at a flow rate of about in the range of about 3 slm to 8 slm, for example about 4 slm, works well in a particular embodiment of the invention.
[0041] The deposition gas used also contains in accordance with an aspect of the present invention at least one secondary elemental source, such as a dopant source and/or a carbon source. A carbon source may be added during deposition to the process chamber with the silicon source and carrier gas to form a silicon-containing compound, such as a silicon carbon material. A carbon source is usually provided into the process chamber at a rate in the range from about 0.1 seem to about 20 seem, for example, from about 0.5 seem to about 10 seem, and more specifically, from about 1 seem to about 5 seem, for example, about 2 seem. The carbon source may be diluted in hydrogen gas and flowed at a rate of 300 seem. Carbon sources useful to deposit silicon-containing compounds include organosilanes, alkyls, alkenes and alkynes of ethyl, propyl and butyl. Such carbon sources include methylsilane (CH3SiH3), dimethylsilane ((CH3)2SiH2), ethylsilane (CH3CH2SiH3), methane (CH4), ethylene (C2H4), ethyne (C2H2), propane (CSHS), propene (CSHฮ˜), butyne (owe), as well as others. The carbon concentration of an epitaxial layer is in the range from about 200 ppm to about 5 atomic %, particularly from about 1 atomic % to about 3 atomic %, for example 1.5 atomic %. In one embodiment, the carbon concentration of an epitaxial layer is less than 0.6 %, with a co-existing concentration of P dopant of greater than 7 x 1020 atoms cm~3. In a further embodiment the concentration of carbon is 0 % or about 0% and the concentration of an n-dopant is 1 x 1021 atoms cm~3. In one embodiment the carbon source is a gas which is selected from methyl silane, dimethyl silane and thmethyl silane. [0042] The deposition gas used during deposition may further include at least one dopant compound to provide a source of elemental dopant, such as boron, arsenic, phosphorus, gallium or aluminum. Dopants provide the deposited silicon-containing compounds with various conductive characteristics, such as directional electron flow in a controlled and desired pathway required by the electronic device. Films of the silicon-containing compounds are doped with particular dopants to achieve the desired conductive characteristic. As an aspect of the present invention the level of n- dopant such as phosphorus in an epitaxial layer is increased significantly over commonly achieved concentrations in order to create significant tensile stress. In one example, the silicon-containing compound is doped n-type with phosphorus to a concentration in the range from about 5 x 1020 atoms/cm3 to about 1 x 1021 atoms/cm3. In specific embodiments, the dopant level exceeds about 8 X 1020 atoms/cm3.
[0043] A dopant source is usually provided into the process chamber during deposition. Dopants may include arsine (AsH3), phosphine (PH3) and alkylphosphines, such as with the empirical formula Rฯ‡PH(3-X), where R=methyl, ethyl, propyl or butyl and x=1 , 2 or 3. Alkylphosphines include trimethylphosphine ((CH33P), dimethylphosphine ((CH3)2PH), triethylphosphine ((CH3CH23P) and diethylphosphine ((CH3CH2)2PH). In a specific embodiment a phosphorus source gas is used, which may be phosphine. In one embodiment the flow of a phosphine gas is in a range of 2 to 20 seem. In a further embodiment the phosphine gas is provided in a rate of 10 seem. In yet another embodiment a silicon precursor gas from a higher silane with a flow of 135 seem and phosphine as a phosphorus source with a flow of 10 seem is used.
[0044] According to one or more embodiments, after the deposition process is terminated, the process chamber may be flushed with a purge gas or the carrier gas and/or the process chamber may be evacuated with a vacuum pump. The purging and/or evacuating processes remove excess deposition gas, reaction by-products and other contaminants. In an exemplary embodiment, the process chamber may be purged for about 10 seconds by flowing a carrier gas at about 5 slm. A cycle of deposition and purge may be repeated for numerous cycles. In one embodiment, the deposition and purge cycle is repeated about 30 times. In another embodiment a continuous deposition process may be used.
[0045] In another aspect of the present invention, a blanket or non-selective deposition is performed at low temperatures, for example, below about 600ยฐ C and lower, using a higher order silane (e.g. disilane and higher) source. This assists in amorphous growth (rather than polycrystalline) on dielectric surfaces such as oxide and nitride during the deposition step (nonselective deposition), which facilitates removal of the layer on dielectric surfaces by a subsequent etch step and minimizes damage on single crystalline layer grown on the crystalline substrate.
[0046] Thus, embodiments of the present invention provide selective epitaxy processes for silicon-containing films, for example, Si:C films with lower substitutional carbon concentration (< 1 %), but with higher concentration of n-dopants up to 1 x 1021 cm"3 which can be used for forming tensile strained channel of N-type MOSFET structure when epitaxial films are grown on recessed source/drain of a transistor. In general, it is difficult to achieve both of low but still significant substitutional carbon concentration for instance .5 % in Si:C epitaxy and very high concentrations of n- dopant such as phosphorus at greater than 7 x 1021 cm"3. According to one or more embodiments of the invention, both are achieved. In a further embodiment an epitaxial layer is achieved with a concentration of n-dopant such as phosphorus that achieves the same amount of tensile stress in the layer as up to 0.3 % carbon. FIGs. 3 and 4 show that an epitaxial layer is created to result in the same amount of tensile strain that an epitaxial layer with 0.85 % substitutional carbon concentration has, but wherein 0.3% substitutional carbon is replaced by a high concentration of n-dopant. FIG. 6 has a graph of XRD data from films with no carbon and all phosphorus, which shows ~ 700 arc second peak shift. This is equivalent to strain induced by 0.55% substitutional carbon.
[0047] One or more embodiments of the present invention provide methods that are particularly useful in forming complementary metal oxide semiconductor (CMOS) integrated-circuit devices and will be described in that context. Other devices and applications are also within the scope of the invention. FIG. 1 illustrates portions of a cross sectional view of a FET pair in a typical CMOS device. Device 100 comprises a semiconductor substrate after forming wells to provide source/drain regions, gate dielectric, and gate electrode of an NMOS device and PMOS device. The device 100 can be formed using conventional semiconductor processes such as growing single crystal silicon and formation of shallow trench isolation structures by trench etching and growing or depositing dielectric in the trench openings. Detailed procedures for forming these various structures are known in the art and are not described further herein.
[0048] Device 100 comprises a semiconductor substrate 155, for example, a silicon substrate, doped with a p-type material, a p-type epitaxial silicon layer 165 on substrate 155, a p-type well region 120 and an n-type well region 150 defined in epitaxial layer 165, an n-type transistor (NMOS FET) 110 defined in p-well 120 and a p-type transistor (PMOS FET) 140 defined in n-well 150. First isolation region 158 electrically isolates NMOS 110 and PMOS 140 transistors, and second isolation region 160 electrically isolates the pair of transistors 110 and 140 from other semiconductor devices on substrate 155.
[0049] According to one or more embodiments of the invention, NMOS transistor 110 comprises a gate electrode 122, first source region 114 and a drain region 116. The thickness of the NMOS gate electrode 122 is scalable and may be adjusted based on considerations related to device performance. NMOS gate electrode 122 has a work function corresponding to the work function of a N-type device. The source and drain regions are n-type regions on opposite sides of the gate electrode 122. Channel region 118 is interposed between source region 114 and drain region 116. A gate dielectric layer 112 separates channel region 118 and gate electrode 122. Processes for forming the NMOS gate electrode 122 and dielectric layer are known in the art and are not discussed further herein.
[0050] According to one or more embodiments, PMOS transistor 140 comprises a gate electrode 152, a source region 144 and a drain region 146. The thickness of the PMOS gate electrode 152 is scalable and may be adjusted based on considerations related to device performance. PMOS gate electrode 152 has a work function corresponding to the work function of a N-type device. The source and drain regions are p-type regions on opposite sides of gate electrode 152. Channel region 148 is interposed between source region 144 and drain region 146. A gate dielectric 142 separates channel region 148 and gate electrode 152. Dielectric 142 electrically insulates gate electrode 152 from channel region 148. It will be appreciated that the structures of the transistors 110 and 140 shown in Figure 2 and described immediately above are exemplary only, and various variants in materials, layers, etc. are within the scope of the present invention.
[0051] Referring now to Fig. 2, which shows a view of additional details of the NMOS device 110 of Fig. 1 after formation of spacers, layers over the source/drain regions, for example, suicide layers, and formation of the etch stop. It will be appreciated that the PMOS device may contain similar spacers and layers that may be tailored in dimensions and/or composition to affect the stress induced in the channel of the NMOS device as will be described further below. However, for illustration purposes, only NMOS device is shown and described in detail.
[0052] Fig. 2 shows spacers 175 that may be formed from suitable dielectric material incorporated around the gate 119. Offset spacers 177 may also be provided, which surround each of the spacers 175. Processes for forming shapes, sizes, and thickness of spacers 175 and 177 are known in the art and are not further described herein. A metal suicide layer 179 may be formed over the source region 114 and drain region 116. The suicide layer 179 may be formed from a suitable metal such as nickel, titanium, or cobalt by any suitable process such as sputtering or PVD (Physical Vapor Deposition). The suicide layer 179 may diffuse into portions of the underlying surfaces. Elevation of the drain region 116 is shown by the arrow 181 , which is shown as the distance from the substrate surface 180 to the top of the suicide layer 179. Facet 183 of source drain region is shown as the angled surface As will be understood by the skilled artisan, the exemplary device described above may be modified to include a source/drain or source/drain extension having a Si:C epitaxial layer that may be further modified according to the methods described herein. [0053] The epitaxial layer in accordance with an aspect of the present invention may also contain a very high concentration of above 5 x 1020 atoms cm"3 of phosphorus. Such a layer with a very high concentration of n-dopant phosphorus may also contain a low level of carbon or it may contain no carbon. FIG. 5 shows a cross- sectional view of a device which identifies the epitaxial layer 501 and the tensile strained region 502.
[0054] It was established earlier that while Csub in silicon as Si:C is attractive for creating tensile stress it has some disadvantages. Csub is not stable under excessive amounts of thermal stress as the Si:C film is grown under metastable conditions. For instance, most of the stress is lost after a 1050ยฐ C thermal spike anneal. The problem is to retain the tensile stress in the channel after multiple anneals while keeping the series resistance as low as possible.
[0055] One way to achieve tensile stress in the channel in addition to low series resistance is to heavily dope the junctions with phosphorus. Due to its smaller size compared to silicon a P atom can act as a carbon atom does to induce tensile stress in the channel. The advantage of using phosphorus is that at the same time it creates stress it also keeps the series resistance low. Another advantage is the thermal stability of a SiP compared to Si:C or SiCP. Since there is no carbon present in SiP, this material is believed to be much more thermally stable under excessive thermal cycles.
[0056] In one embodiment, a substrate with an epitaxial layer containing a concentration of phosphorus of over 8 x 1020 cm"3 was created. Its concentration of phosphorus was measured at least 9 days after creating the layer and no measurable change had occurred. The phosphorus concentration in accordance with an aspect of the present invention is stable and the tensile stress created by the phosphorus is stable.
[0057] As is known by those skilled in the art, the doping of silicon with phosphorus has been limited by its solid solubility in silicon to below about 1 X 1020 cm"3. Despite statements in the literature in excess of 1 X 1020 cm"3, these statements are generally stated as goals, and it is believed that prior to the filing date of U.S. Application Serial No. 11/609,826, filed December 11 , 2006, methods of achieving dopant levels in excess of this value has not been described in the literature. U.S. Application Serial No. 11/609,826 describes one example in which a P doping level of 2 X 1020 cm"3 was achieved, but this example utilized a relatively high pressure during the epitaxial deposition process.
[0058] Therefore, at the 1 X 1020 cm"3 level of doping, phosphorus is not able to provide sufficient stress to the channel. In accordance with one aspect of the present invention SiPC is epitaxially grown on a silicon substrate using a higher order silicon precursor as well as carbon and phosphorus sources. This method can dope the silicon with carbon as well as phosphorus to very high levels, and higher than the reported limited solid solubility level of phosphorus. Using an Applied Materials EPI Centura RP Reactor, films were grown with a phosphorus concentration as high as 1 X 1021 cm"3, as is shown in FIG. 3 with a secondary ion mass spectrometry (SIMS) graph. When this is compared to X-Ray Diffraction (XRD) data for substitutionality data, this phosphorus is shown to produce stress which is equivalent to stress caused by 0.3% substitutional carbon (Figure 4). Phosphorus can be used to completely eliminate carbon from the SiPC epi, provided that high levels of phosphorus can be achieved. Epitaxial SiP can be created with very high phosphorus levels, which may optimize tensile stress as well as series resistance.
[0059] The SIMS profile of heavily phosphorus and carbon doped Si epitaxial film is shown on FIG. 3. The XRD spectra in FIG. 4 show the substitutional carbon to be approximately 0.85 %. The total carbon concentration derived from the SIMS data of FIG. 3 is approximately 0.57%. This demonstrates that a concentration of 8.7 x 1020 cm"3 of P is able to induce stress equivalent to as much as approximately 0.3 % carbon.
[0060] According to another embodiment of the present invention, Si films have been grown using P doping with a measured concentration up to 1.2 x 1021 cm"3 and no Csub, with a resistivity of 0.3 mOhm.cm, and which shows a tensile strain that is approximately equivalent to tensile strain caused by 0.55% Csub. FIG. 6 is a graph of XRD data from films with no carbon and all phosphorus, which shows ~ 700 arc second peak shift. This is equivalent to strain induced by 0.55% substitutional carbon. In another embodiment of the present invention, one may achieve a Si film by epitaxial process with Si and P sources and followed by annealing with a resistivity lower than 0.25 mOhm.cm. Currently, an achievable resistivity of 0.5 mOhm.cm in an epitaxial layer is considered to be very low. Accordingly, a resistivity of about .35 mOhm.cm, and more particularly, about .3 mOhm.cm and lower (for example, about .25 mOhm.cm) can be achieved.
[0061] As was stated earlier, the addition of carbon to the junction of an n-FET device improves performance of the device by inducing tensile strain in the channel. However, adding carbon may create process integration challenges for instance during the epitaxial growing process. In one embodiment, by lowering the required concentration of carbon and replacing it by doping with phosphorus one can drastically reduce these integration challenges. Also, the use of phosphorus will provide a much lower junction sheet resistance, which enhances the device performance. Higher sheet resistance and higher contact resistance after forming suicide are examples of integration challenges of silicon carbon epitaxy. According to embodiments of the present invention, a SiPC or a SiP layer is formed by the methods disclosed. Such a layer may be deposited in an area of the device that requires very low resistance. In one embodiment, a SiP layer can be deposited on top of a silicon carbon epitaxy layer as a capping layer. In another embodiment an epitaxial layer combining silicon, carbon and phosphorus can be created.
[0062] In accordance with another aspect of the present invention, one may apply an SiP or SiPC epitaxial layer as a capping layer on top of a silicon carbon layer formed on recessed source/drain areas. Such layers may also be directly formed on recessed source/drain areas.
[0063] In a further embodiment of the present invention, a device with a concentration of phosphorus greater than 7 x 1020 cm"3 is formed by using the following process parameters in an epitaxial growing process: a phosphine gas flow provided at a rate in the range of about 5-20 seem (for example, about 10 seem), a silicon precursor gas from a higher silane with a flow in the range of about 100 to 150 seem (for example, about 135 seem) and a hydrogen carrier gas with a flow rate in the range of about 2000 to about 5000 seem (for example, about 4000 seem) in a mix of gases with 100% mixing ratio.
[0064] Reference throughout this specification to "one embodiment," "certain embodiments," "one or more embodiments" or "an embodiment" means that a particular feature, structure, material, or characteristic described in connection with the embodiment is included in at least one embodiment of the invention. Thus, the appearances of the phrases such as "in one or more embodiments," "in certain embodiments," "in one embodiment" or "in an embodiment" in various places throughout this specification are not necessarily referring to the same embodiment of the invention. Furthermore, the particular features, structures, materials, or characteristics may be combined in any suitable manner in one or more embodiments. The order of description of the above method should not be considered limiting, and methods may use the described operations out of order or with omissions or additions.
[0065] It is to be understood that the above description is intended to be illustrative, and not restrictive. Many other embodiments will be apparent to those of ordinary skill in the art upon reviewing the above description. The scope of the invention should, therefore, be determined with reference to the appended claims, along with the full scope of equivalents to which such claims are entitled.

Claims

Claims:
1. A method for epitaxially forming a silicon film on a substrate surface, comprising: placing a substrate including a monocrystalline surface and secondary surfaces into a process chamber; exposing the substrate to a deposition gas comprising a mix of a silicon source, a carrier gas and an n-type dopant source at a temperature of below about 600 0C; and creating an epitaxial silicon layer with an n-dopant with a level equal to or greater than about 7 x 1020 atoms cm"3.
2. The method as claimed in claim 1 , wherein the pressure in the process chamber is maintained at about 10 Torr.
3. The method of claim 1 , wherein the n-type dopant is phosphorus and the n-type dopant source comprises phosphine.
4. The method of claim 1 , wherein the silicon source is a higher order silane selected from trisilane, pentasilane and mixtures thereof.
5. The method of claim 1 , wherein the level of the n-dopant is at least about 8 X 1020 atoms/cm3.
6. The method of claim 1 , wherein the level of the n-dopant is about 1.2 X 1021 atoms/cm3.
7. The method of claim 1 , wherein the temperature during deposition is in a range of about 540 0C and below about 560 0C.
8. The method of claim 1 , wherein the epitaxial silicon layer with the n-dopant is a capping layer on top of a silicon carbon layer.
9. The method of claim 1 , wherein the epitaxial layer has a tensile stress created by n-dopants in the layer that is equivalent to the tensile stress that can be created by a concentration of substitutional carbon in the epitaxial layer of about 0.55%.
10. The method of claim 1 , wherein a measured resistivity in the epitaxial layer is greater than about 0.25 mOhm.cm and lower than 0.5 mOhm.cm.
11. The method of claim 1 , wherein the epitaxial film is formed during a fabrication step of a transistor manufacturing process, and the method further comprises: forming a gate dielectric on a substrate; forming a gate electrode on the gate dielectric; and forming source/drain regions on the substrate on opposite sides of the electrode and defining a channel region between the source/drain regions, wherein the epitaxial silicon layer with the n-dopant is a capping layer on top of a silicon carbon layer formed on recessed source/drain areas.
12. A silicon film on a substrate surface, comprising an epitaxially formed silicon layer, the layer including an n-dopant with a concentration being not less than about 7 x 1020 atoms/cm3.
13. The silicon film as claimed in claim 12, wherein the n-dopant is phosphorus.
14. The silicon film as claimed in claim 13, wherein the concentration of phosphorus is about 1 x 1021 atoms/cm3 and the film has a measured resistivity is greater than about 0.25 mOhm.cm and lower than 0.5 mOhm.cm.
15. The silicon film as claimed in claim 14, wherein the epitaxially formed silicon layer with n-dopant is a capping layer on top of a silicon carbon layer formed on recessed source/drain areas.
PCT/US2008/086919 2007-12-17 2008-12-16 Phosphorus containing si epitaxial layers in n-type source/drain junctions WO2009079485A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/957,820 US7960236B2 (en) 2006-12-12 2007-12-17 Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US11/957,820 2007-12-17

Publications (1)

Publication Number Publication Date
WO2009079485A1 true WO2009079485A1 (en) 2009-06-25

Family

ID=40795898

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2008/086919 WO2009079485A1 (en) 2007-12-17 2008-12-16 Phosphorus containing si epitaxial layers in n-type source/drain junctions

Country Status (3)

Country Link
US (1) US7960236B2 (en)
TW (1) TWI365486B (en)
WO (1) WO2009079485A1 (en)

Families Citing this family (339)

* Cited by examiner, โ€  Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US8551845B2 (en) * 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
WO2012102755A1 (en) 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
SG191896A1 (en) 2011-02-08 2013-08-30 Applied Materials Inc Epitaxy of high tensile silicon alloy for tensile strain applications
DE102011004320B4 (en) 2011-02-17 2016-02-04 Globalfoundries Dresden Module One Llc & Co. Kg Method for producing complementary transistors with metal gate electrode structures with large ฮต and epitaxially produced semiconductor materials in the drain and source regions
US8394712B2 (en) 2011-05-05 2013-03-12 International Business Machines Corporation Cavity-free interface between extension regions and embedded silicon-carbon alloy source/drain regions
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) * 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8940640B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9735057B2 (en) 2014-04-28 2017-08-15 Globalfoundries Inc. Fabricating field effect transistor(s) with stressed channel region(s) and low-resistance source/drain regions
US9673295B2 (en) 2014-05-27 2017-06-06 Globalfoundries Inc. Contact resistance optimization via EPI growth engineering
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (en) 2014-11-19 2021-09-09 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107430994B (en) 2015-04-10 2022-02-18 ๅบ”็”จๆๆ–™ๅ…ฌๅธ Method for increasing growth rate of selective epitaxial growth
US10665693B2 (en) 2015-04-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus and method of operating the same
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (en) 2016-12-19 2018-06-27 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (en) 2017-04-25 2022-10-21 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method for depositing a thin film and manufacturing a semiconductor device
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (en) 2017-08-30 2023-01-26 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 ้˜ฟๆ–ฏ่ŽซIpๆŽง่‚กๅ…ฌๅธ Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (en) 2018-01-19 2023-07-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๆ™บๆ…ง่ฒก็”ขๆŽง่‚กๅ…ฌๅธ Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๆ™บๆ…ง่ฒก็”ขๆŽง่‚กๅ…ฌๅธ Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of processing a substrate and a device manufactured by the same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (en) 2018-06-21 2023-08-21 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing system
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (en) 2018-06-27 2021-10-28 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ ใƒปใ‚ขใ‚คใƒ”ใƒผใƒปใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐใƒปใƒ™ใƒผใƒปใƒ•ใ‚งใƒผ Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method for depositing a thin film and manufacturing a semiconductor device
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (en) 2018-09-11 2020-03-20 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method for deposition of a thin film
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR102568706B1 (en) 2018-09-18 2023-08-21 ์–ดํ”Œ๋ผ์ด๋“œ ๋จธํ‹ฐ์–ด๋ฆฌ์–ผ์Šค, ์ธ์ฝ”ํฌ๋ ˆ์ดํ‹ฐ๋“œ In-situ integrated chambers
CN110970344A (en) 2018-10-01 2020-04-07 Asm IpๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (en) 2018-10-19 2023-06-21 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus and substrate processing method
KR102605121B1 (en) 2018-10-19 2023-11-23 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (en) 2018-12-14 2020-06-18 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ ใƒปใ‚ขใ‚คใƒ”ใƒผใƒปใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐใƒปใƒ™ใƒผใƒปใƒ•ใ‚งใƒผ Method of forming device structure using selective deposition of gallium nitride, and system for the same
US11195923B2 (en) 2018-12-21 2021-12-07 Applied Materials, Inc. Method of fabricating a semiconductor device having reduced contact resistance
KR20210093368A (en) 2018-12-21 2021-07-27 ์–ดํ”Œ๋ผ์ด๋“œ ๋จธํ‹ฐ์–ด๋ฆฌ์–ผ์Šค, ์ธ์ฝ”ํฌ๋ ˆ์ดํ‹ฐ๋“œ Methods and processing systems for forming contacts
TWI819180B (en) 2019-01-17 2023-10-21 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๆ™บๆ…ง่ฒก็”ขๆŽง่‚กๅ…ฌๅธ Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method for topologically selective film formation of silicon oxide
KR20210111894A (en) 2019-02-08 2021-09-13 ์–ดํ”Œ๋ผ์ด๋“œ ๋จธํ‹ฐ์–ด๋ฆฌ์–ผ์Šค, ์ธ์ฝ”ํฌ๋ ˆ์ดํ‹ฐ๋“œ Semiconductor devices, methods of manufacturing semiconductor devices, and processing systems
JP2020136678A (en) 2019-02-20 2020-08-31 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ ใƒปใ‚ขใ‚คใƒ”ใƒผใƒปใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐใƒปใƒ™ใƒผใƒปใƒ•ใ‚งใƒผ Method for filing concave part formed inside front surface of base material, and device
KR102626263B1 (en) 2019-02-20 2024-01-16 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Cyclical deposition method including treatment step and apparatus for same
TW202104632A (en) 2019-02-20 2021-02-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (en) 2019-02-22 2021-01-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Structure Including SiOC Layer and Method of Forming Same
JP2020167398A (en) 2019-03-28 2020-10-08 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ ใƒปใ‚ขใ‚คใƒ”ใƒผใƒปใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐใƒปใƒ™ใƒผใƒปใƒ•ใ‚งใƒผ Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of manufacturing semiconductor device
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ  ใ‚ขใ‚คใƒ”ใƒผ ใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐ ใƒ“ใƒผ๏ผŽใƒ–ใ‚ค๏ผŽ Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ  ใ‚ขใ‚คใƒ”ใƒผ ใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐ ใƒ“ใƒผ๏ผŽใƒ–ใ‚ค๏ผŽ Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (en) 2019-07-19 2021-02-15 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ ใƒปใ‚ขใ‚คใƒ”ใƒผใƒปใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐใƒปใƒ™ใƒผใƒปใƒ•ใ‚งใƒผ Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Selective deposition method for achieving high dopant doping
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing apparatus
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing apparatus
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ  ใ‚ขใ‚คใƒ”ใƒผ ใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐ ใƒ“ใƒผ๏ผŽใƒ–ใ‚ค๏ผŽ Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (en) 2019-08-22 2021-03-05 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method for forming a structure with a hole
KR20210024420A (en) 2019-08-23 2021-03-05 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (en) 2019-09-04 2021-03-15 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝๆŽง่‚กๅ…ฌๅธ Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing apparatus
KR20210065848A (en) 2019-11-26 2021-06-04 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing apparatus
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 ใ‚จใƒผใ‚จใ‚นใ‚จใƒ  ใ‚ขใ‚คใƒ”ใƒผ ใƒ›ใƒผใƒซใƒ‡ใ‚ฃใƒณใ‚ฐ ใƒ“ใƒผ๏ผŽใƒ–ใ‚ค๏ผŽ Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method of forming structures including a vanadium or indium layer
KR20210100010A (en) 2020-02-04 2021-08-13 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method and apparatus for transmittance measurements of large articles
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (en) 2020-03-11 2021-09-27 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (en) 2020-03-12 2021-09-14 Asm Ip็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method for producing a layer structure having a target topological profile
KR20210124042A (en) 2020-04-02 2021-10-14 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝๆŽง่‚กๅ…ฌๅธ Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (en) 2020-04-24 2021-11-04 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
TW202140831A (en) 2020-04-24 2021-11-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method of forming vanadium nitrideโ€“containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Vertical batch furnace assembly comprising a cooling gas supply
KR20210134226A (en) 2020-04-29 2021-11-09 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Solid source precursor vessel
KR20210134869A (en) 2020-05-01 2021-11-11 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (en) 2020-10-14 2022-08-01 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method of depositing material on stepped structure
KR20220053482A (en) 2020-10-22 2022-04-29 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 ์—์ด์—์Šค์—  ์•„์ดํ”ผ ํ™€๋”ฉ ๋น„.๋ธŒ์ด. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (en) 2020-12-22 2022-08-16 ่ท่˜ญๅ•†๏ผก๏ฝ“๏ฝ ๏ผฉ๏ฝ็งไบบๆŽง่‚กๆœ‰้™ๅ…ฌๅธ Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, โ€  Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030002646A (en) * 2001-06-29 2003-01-09 ์ฃผ์‹ํšŒ์‚ฌ ํ•˜์ด๋‹‰์Šค๋ฐ˜๋„์ฒด Method for fabricating semiconductor device
KR20040003881A (en) * 2002-07-04 2004-01-13 ์‚ผ์„ฑ์ „์ž์ฃผ์‹ํšŒ์‚ฌ Semiconductor device and Method of manufacturing the same
KR20070006852A (en) * 2004-04-23 2007-01-11 ์—์ด์—์Šค์—  ์•„๋ฉ”๋ฆฌ์นด, ์ธ์ฝ”ํฌ๋ ˆ์ดํ‹ฐ๋“œ In situ doped epitaxial films

Family Cites Families (25)

* Cited by examiner, โ€  Cited by third party
Publication number Priority date Publication date Assignee Title
US5177677A (en) * 1989-03-08 1993-01-05 Hitachi, Ltd. Power conversion system
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5108792A (en) 1990-03-09 1992-04-28 Applied Materials, Inc. Double-dome reactor for semiconductor processing
US5179677A (en) 1990-08-16 1993-01-12 Applied Materials, Inc. Apparatus and method for substrate heating utilizing various infrared means to achieve uniform intensity
KR100815009B1 (en) 2000-09-28 2008-03-18 ํ”„๋ ˆ์ง€๋˜ํŠธ ์•ค๋“œ ํŽ ๋กœ์šฐ์ฆˆ ์˜ค๋ธŒ ํ•˜๋ฐ”๋“œ ์นผ๋ฆฌ์ง€ Vapor deposition of oxides, silicates, and phosphates
US6426265B1 (en) 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US6998153B2 (en) 2003-01-27 2006-02-14 Applied Materials, Inc. Suppression of NiSi2 formation in a nickel salicide process using a pre-silicide nitrogen plasma
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
US7196005B2 (en) 2004-09-03 2007-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Dual damascene process with dummy features
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7195985B2 (en) 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7816236B2 (en) 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
EP2047514A4 (en) 2006-07-31 2010-12-01 Vishay Siliconix Molybdenum barrier metal for sic schottky diode and process of manufacture
US8124473B2 (en) 2007-04-12 2012-02-28 Advanced Micro Devices, Inc. Strain enhanced semiconductor devices and methods for their fabrication
US20080283926A1 (en) 2007-05-18 2008-11-20 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow

Patent Citations (3)

* Cited by examiner, โ€  Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030002646A (en) * 2001-06-29 2003-01-09 ์ฃผ์‹ํšŒ์‚ฌ ํ•˜์ด๋‹‰์Šค๋ฐ˜๋„์ฒด Method for fabricating semiconductor device
KR20040003881A (en) * 2002-07-04 2004-01-13 ์‚ผ์„ฑ์ „์ž์ฃผ์‹ํšŒ์‚ฌ Semiconductor device and Method of manufacturing the same
KR20070006852A (en) * 2004-04-23 2007-01-11 ์—์ด์—์Šค์—  ์•„๋ฉ”๋ฆฌ์นด, ์ธ์ฝ”ํฌ๋ ˆ์ดํ‹ฐ๋“œ In situ doped epitaxial films

Also Published As

Publication number Publication date
TW200939306A (en) 2009-09-16
TWI365486B (en) 2012-06-01
US7960236B2 (en) 2011-06-14
US20080182075A1 (en) 2008-07-31

Similar Documents

Publication Publication Date Title
US7960236B2 (en) Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7776698B2 (en) Selective formation of silicon carbon epitaxial layer
US7897495B2 (en) Formation of epitaxial layer containing silicon and carbon
JP5808522B2 (en) Formation of epitaxial layers containing silicon
US7737007B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7439142B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7598178B2 (en) Carbon precursors for use during silicon epitaxial film formation
US7572715B2 (en) Selective epitaxy process with alternating gas supply
JP5551745B2 (en) Formation of in situ-doped epitaxial layers containing silicon and carbon.
KR20080050312A (en) Formation and treatment of epitaxial layer containing silicon and carbon
WO2007109491A2 (en) Selective deposition
US20080132039A1 (en) Formation and treatment of epitaxial layer containing silicon and carbon
KR20070022046A (en) Methods to fabricate mosfet devices using selective deposition processes

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 08861386

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 08861386

Country of ref document: EP

Kind code of ref document: A1