WO2010005670A3 - Methods of forming a plurality of capacitors - Google Patents

Methods of forming a plurality of capacitors Download PDF

Info

Publication number
WO2010005670A3
WO2010005670A3 PCT/US2009/046946 US2009046946W WO2010005670A3 WO 2010005670 A3 WO2010005670 A3 WO 2010005670A3 US 2009046946 W US2009046946 W US 2009046946W WO 2010005670 A3 WO2010005670 A3 WO 2010005670A3
Authority
WO
WIPO (PCT)
Prior art keywords
openings
masking steps
capacitors
array
forming
Prior art date
Application number
PCT/US2009/046946
Other languages
French (fr)
Other versions
WO2010005670A2 (en
Inventor
Fred D. Fishburn
Original Assignee
Micron Technology, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology, Inc. filed Critical Micron Technology, Inc.
Priority to KR1020117002912A priority Critical patent/KR101184513B1/en
Priority to EP09794877.2A priority patent/EP2297779B1/en
Priority to CN2009801265651A priority patent/CN102089877B/en
Publication of WO2010005670A2 publication Critical patent/WO2010005670A2/en
Publication of WO2010005670A3 publication Critical patent/WO2010005670A3/en

Links

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • H01L28/91Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions made by depositing layers, e.g. by depositing alternating conductive and insulating layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

A method of forming a plurality of capacitors includes forming a plurality of individual capacitor electrodes using two masking steps. An earlier of the two masking steps is used to form an array of first openings over a plurality of storage node contacts. A later of the two masking steps is used to form an array of second openings received partially over and partially offset from the array of first openings. Overlapping portions of the first and second openings are received over the storage node contacts. After both of the two masking steps, conductive material of the individual capacitor electrodes is deposited into the overlapping portions of each of the first and second openings. The individual capacitor electrodes are incorporated into a plurality of capacitors. Other aspects and implementations are contemplated.
PCT/US2009/046946 2008-07-09 2009-06-10 Methods of forming a plurality of capacitors WO2010005670A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020117002912A KR101184513B1 (en) 2008-07-09 2009-06-10 Methods of forming a plurality of capacitors
EP09794877.2A EP2297779B1 (en) 2008-07-09 2009-06-10 Methods of forming a plurality of capacitors
CN2009801265651A CN102089877B (en) 2008-07-09 2009-06-10 Methods of forming a plurality of capacitors

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/170,307 US7759193B2 (en) 2008-07-09 2008-07-09 Methods of forming a plurality of capacitors
US12/170,307 2008-07-09

Publications (2)

Publication Number Publication Date
WO2010005670A2 WO2010005670A2 (en) 2010-01-14
WO2010005670A3 true WO2010005670A3 (en) 2010-03-04

Family

ID=41505518

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/046946 WO2010005670A2 (en) 2008-07-09 2009-06-10 Methods of forming a plurality of capacitors

Country Status (6)

Country Link
US (2) US7759193B2 (en)
EP (1) EP2297779B1 (en)
KR (1) KR101184513B1 (en)
CN (1) CN102089877B (en)
TW (1) TWI384587B (en)
WO (1) WO2010005670A2 (en)

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1732134B1 (en) * 2004-02-27 2012-10-24 National University Corporation Tohoku Unversity Solid-state imagine device, line sensor, optical sensor, and method for operating solid-state imaging device
US7547945B2 (en) 2004-09-01 2009-06-16 Micron Technology, Inc. Transistor devices, transistor structures and semiconductor constructions
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
US7867851B2 (en) 2005-08-30 2011-01-11 Micron Technology, Inc. Methods of forming field effect transistors on substrates
US7700441B2 (en) 2006-02-02 2010-04-20 Micron Technology, Inc. Methods of forming field effect transistors, methods of forming field effect transistor gates, methods of forming integrated circuitry comprising a transistor gate array and circuitry peripheral to the gate array, and methods of forming integrated circuitry comprising a transistor gate array including first gates and second grounded isolation gates
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7602001B2 (en) * 2006-07-17 2009-10-13 Micron Technology, Inc. Capacitorless one transistor DRAM cell, integrated circuitry comprising an array of capacitorless one transistor DRAM cells, and method of forming lines of capacitorless one transistor DRAM cells
US7772632B2 (en) 2006-08-21 2010-08-10 Micron Technology, Inc. Memory arrays and methods of fabricating memory arrays
US7589995B2 (en) 2006-09-07 2009-09-15 Micron Technology, Inc. One-transistor memory cell with bias gate
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US7682924B2 (en) * 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8388851B2 (en) * 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) * 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US10449373B2 (en) 2009-07-31 2019-10-22 Medtronic, Inc. Connector enclosure assemblies of medical devices including an angled lead passageway
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
CN103282080B (en) 2010-12-28 2016-05-18 美敦力公司 Comprise the medical treatment device of metal connecting piece capsule
US9597518B2 (en) 2011-01-26 2017-03-21 Medtronic, Inc. Implantable medical devices and related connector enclosure assemblies utilizing conductors electrically coupled to feedthrough pins
KR101800419B1 (en) * 2011-03-14 2017-11-23 삼성전자주식회사 Semiconductor devices and methods for fabricating the same
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8593816B2 (en) 2011-09-21 2013-11-26 Medtronic, Inc. Compact connector assembly for implantable medical device
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US8921977B2 (en) * 2011-12-21 2014-12-30 Nan Ya Technology Corporation Capacitor array and method of fabricating the same
US8946043B2 (en) 2011-12-21 2015-02-03 Micron Technology, Inc. Methods of forming capacitors
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8652926B1 (en) 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US8647981B1 (en) * 2012-08-31 2014-02-11 Micron Technology, Inc. Methods of forming patterns, and methods of forming integrated circuitry
CN104917748B (en) * 2015-04-14 2019-09-20 百度在线网络技术(北京)有限公司 A kind of method and apparatus for being converted and being handled to encrypted message
KR20170011218A (en) * 2015-07-22 2017-02-02 삼성전자주식회사 Capacitor structures and methods of forming the same, and semiconductor devices including the same
JP2017120853A (en) * 2015-12-28 2017-07-06 Tdk株式会社 Ceramic electronic part
US10062745B2 (en) * 2017-01-09 2018-08-28 Micron Technology, Inc. Methods of forming an array of capacitors, methods of forming an array of memory cells individually comprising a capacitor and a transistor, arrays of capacitors, and arrays of memory cells individually comprising a capacitor and a transistor
US9935114B1 (en) * 2017-01-10 2018-04-03 Micron Technology, Inc. Methods of forming an array comprising pairs of vertically opposed capacitors and arrays comprising pairs of vertically opposed capacitors
KR102387945B1 (en) * 2017-12-15 2022-04-18 삼성전자주식회사 Integrated circuit devices and method for manufacturing the same
US11253708B2 (en) 2018-05-24 2022-02-22 Medtronic, Inc. Machined features of enclosures for implantable medical devices
CN110707005B (en) 2018-08-03 2022-02-18 联华电子股份有限公司 Semiconductor device and method of forming the same
US10978553B2 (en) * 2019-01-28 2021-04-13 Micron Technology, Inc. Formation of a capacitor using a hard mask
US10964475B2 (en) * 2019-01-28 2021-03-30 Micron Technology, Inc. Formation of a capacitor using a sacrificial layer
US10825691B1 (en) * 2019-08-29 2020-11-03 Micron Technology, Inc. Semiconductor structure stack

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030087501A1 (en) * 2000-06-28 2003-05-08 Hyundai Electronics Industries Co., Ltd. Capacitor and method of manufacturing the same
US20030169629A1 (en) * 2000-07-31 2003-09-11 Bernd Goebel Semiconductor memory cell configuration and a method for producing the configuration
US20070181929A1 (en) * 2005-06-27 2007-08-09 Micron Technology, Inc. Semiconductor Constructions, Memory Cells, DRAM Arrays, Electronic Systems; Methods of Forming Semiconductor Constructions; and Methods of Forming DRAM Arrays

Family Cites Families (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3037218A (en) * 1960-07-11 1962-06-05 Nat Textile Res Inc Shelter life raft
US4517729A (en) * 1981-07-27 1985-05-21 American Microsystems, Incorporated Method for fabricating MOS device with self-aligned contacts
US4871688A (en) 1988-05-02 1989-10-03 Micron Technology, Inc. Sequence of etching polysilicon in semiconductor memory devices
US5236860A (en) * 1991-01-04 1993-08-17 Micron Technology, Inc. Lateral extension stacked capacitor
US5289030A (en) 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
US5467305A (en) 1992-03-12 1995-11-14 International Business Machines Corporation Three-dimensional direct-write EEPROM arrays and fabrication methods
US5252517A (en) 1992-12-10 1993-10-12 Micron Semiconductor, Inc. Method of conductor isolation from a conductive contact plug
US5401681A (en) * 1993-02-12 1995-03-28 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells
US5340763A (en) * 1993-02-12 1994-08-23 Micron Semiconductor, Inc. Multi-pin stacked capacitor utilizing micro villus patterning in a container cell and method to fabricate same
US5563089A (en) 1994-07-20 1996-10-08 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
US5605857A (en) * 1993-02-12 1997-02-25 Micron Technology, Inc. Method of forming a bit line over capacitor array of memory cells and an array of bit line over capacitor array of memory cells
US5498562A (en) * 1993-04-07 1996-03-12 Micron Technology, Inc. Semiconductor processing methods of forming stacked capacitors
US5784112A (en) * 1993-07-02 1998-07-21 Canon Kabushiki Kaisha Encoding apparatus
US5532089A (en) * 1993-12-23 1996-07-02 International Business Machines Corporation Simplified fabrication methods for rim phase-shift masks
US6133620A (en) 1995-05-26 2000-10-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and process for fabricating the same
JP2956482B2 (en) * 1994-07-29 1999-10-04 日本電気株式会社 Semiconductor memory device and method of manufacturing the same
US6744091B1 (en) 1995-01-31 2004-06-01 Fujitsu Limited Semiconductor storage device with self-aligned opening and method for fabricating the same
US5654222A (en) 1995-05-17 1997-08-05 Micron Technology, Inc. Method for forming a capacitor with electrically interconnected construction
US5981992A (en) 1995-06-07 1999-11-09 International Business Machines Corporation Mechanical supports for very thin stacked capacitor plates
JPH0982918A (en) * 1995-09-19 1997-03-28 Toshiba Corp Semiconductor storage device and its manufacture
US5990021A (en) 1997-12-19 1999-11-23 Micron Technology, Inc. Integrated circuit having self-aligned CVD-tungsten/titanium contact plugs strapped with metal interconnect and method of manufacture
US6090700A (en) * 1996-03-15 2000-07-18 Vanguard International Semiconductor Corporation Metallization method for forming interconnects in an integrated circuit
US5821142A (en) * 1996-04-08 1998-10-13 Vanguard International Semiconductor Method for forming a capacitor with a multiple pillar structure
US5672534A (en) 1996-05-10 1997-09-30 United Microelectronics Corporation Process for fabricating capacitor cells in dynamic random access memory (DRAM) chips
JP3226548B2 (en) 1996-05-21 2001-11-05 シーメンス アクチエンゲゼルシヤフト Thin film multilayer capacitors
JP2800787B2 (en) * 1996-06-27 1998-09-21 日本電気株式会社 Method for manufacturing semiconductor memory device
JPH1022476A (en) * 1996-07-02 1998-01-23 Sony Corp Capacitive element
TW308727B (en) * 1996-08-16 1997-06-21 United Microelectronics Corp Semiconductor memory device with capacitor (4)
US6395613B1 (en) * 2000-08-30 2002-05-28 Micron Technology, Inc. Semiconductor processing methods of forming a plurality of capacitors on a substrate, bit line contacts and method of forming bit line contacts
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
JP4056588B2 (en) 1996-11-06 2008-03-05 富士通株式会社 Semiconductor device and manufacturing method thereof
KR19980064176A (en) * 1996-12-17 1998-10-07 윌리엄비.켐플러 Integrated circuit dielectric
US5767561A (en) * 1997-05-09 1998-06-16 Lucent Technologies Inc. Integrated circuit device with isolated circuit elements
US6249019B1 (en) * 1997-06-27 2001-06-19 Micron Technology, Inc. Container capacitor with increased surface area and method for making same
US6432472B1 (en) 1997-08-15 2002-08-13 Energenius, Inc. Method of making semiconductor supercapacitor system and articles produced therefrom
US6200874B1 (en) * 1997-08-22 2001-03-13 Micron Technology, Inc. Methods for use in forming a capacitor
TW341729B (en) 1997-10-18 1998-10-01 United Microelectronics Corp Process for producing DRAM capacitors
US5827766A (en) * 1997-12-11 1998-10-27 Industrial Technology Research Institute Method for fabricating cylindrical capacitor for a memory cell
US6198168B1 (en) * 1998-01-20 2001-03-06 Micron Technologies, Inc. Integrated circuits using high aspect ratio vias through a semiconductor wafer and method for forming same
US6025225A (en) 1998-01-22 2000-02-15 Micron Technology, Inc. Circuits with a trench capacitor having micro-roughened semiconductor surfaces and methods for forming the same
KR100292940B1 (en) * 1998-03-30 2001-07-12 윤종용 Method for fabricating dram cell capacitor
KR100268421B1 (en) * 1998-04-18 2000-10-16 윤종용 Capacitor and method of fabricating the same
US5981350A (en) 1998-05-29 1999-11-09 Micron Technology, Inc. Method for forming high capacitance memory cells
US6767789B1 (en) * 1998-06-26 2004-07-27 International Business Machines Corporation Method for interconnection between transfer devices and storage capacitors in memory cells and device formed thereby
US6458925B1 (en) 1998-08-03 2002-10-01 University Of Maryland, Baltimore Peptide antagonists of zonulin and methods for use of the same
JP4322330B2 (en) * 1998-09-04 2009-08-26 エルピーダメモリ株式会社 Manufacturing method of semiconductor integrated circuit device
US5956594A (en) 1998-11-02 1999-09-21 Vanguard International Semiconductor Corporation Method for simultaneously forming capacitor plate and metal contact structures for a high density DRAM device
JP4180716B2 (en) 1998-12-28 2008-11-12 富士通株式会社 Manufacturing method of semiconductor device
US6204178B1 (en) * 1998-12-29 2001-03-20 Micron Technology, Inc. Nucleation and deposition of PT films using ultraviolet irradiation
US6383861B1 (en) * 1999-02-18 2002-05-07 Micron Technology, Inc. Method of fabricating a dual gate dielectric
US6303956B1 (en) 1999-02-26 2001-10-16 Micron Technology, Inc. Conductive container structures having a dielectric cap
US6204143B1 (en) * 1999-04-15 2001-03-20 Micron Technology Inc. Method of forming high aspect ratio structures for semiconductor devices
US6667502B1 (en) * 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6395600B1 (en) * 1999-09-02 2002-05-28 Micron Technology, Inc. Method of forming a contact structure and a container capacitor structure
US6159818A (en) 1999-09-02 2000-12-12 Micron Technology, Inc. Method of forming a container capacitor structure
US6403442B1 (en) 1999-09-02 2002-06-11 Micron Technology, Inc. Methods of forming capacitors and resultant capacitor structures
US6303518B1 (en) 1999-09-30 2001-10-16 Novellus Systems, Inc. Methods to improve chemical vapor deposited fluorosilicate glass (FSG) film adhesion to metal barrier or etch stop/diffusion barrier layers
TW432546B (en) 1999-11-25 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
JP3595231B2 (en) 1999-12-28 2004-12-02 株式会社東芝 Semiconductor storage device and method of manufacturing the same
KR20010061020A (en) 1999-12-28 2001-07-07 박종섭 Fabricating method of semiconductor device
US6121084A (en) 2000-01-27 2000-09-19 Micron Technology, Inc. Semiconductor processing methods of forming hemispherical grain polysilicon layers, methods of forming capacitors, and capacitors
US6475855B1 (en) * 2000-03-01 2002-11-05 Micron Technology, Inc. Method of forming integrated circuitry, method of forming a capacitor and method of forming DRAM integrated circuitry
US6476432B1 (en) * 2000-03-23 2002-11-05 Micron Technology, Inc. Structures and methods for enhancing capacitors in integrated circuits
US6372574B1 (en) * 2000-06-02 2002-04-16 Micron Technology, Inc. Method of forming a capacitor container electrode and method of patterning a metal layer by selectively silicizing the electrode or metal layer and removing the silicized portion
KR100338775B1 (en) 2000-06-20 2002-05-31 윤종용 Contact structure in semiconductor device including DRAM and forming method thereof
US6399490B1 (en) * 2000-06-29 2002-06-04 International Business Machines Corporation Highly conformal titanium nitride deposition process for high aspect ratio structures
DE10036724A1 (en) * 2000-07-27 2002-02-14 Infineon Technologies Ag Production of a trench in a semiconductor substrate comprises arranging a mask on the substrate having a window, electrochemically etching the surface exposed by the window, forming a porous substrate and removing the porous substrate
DE10036725C2 (en) * 2000-07-27 2002-11-28 Infineon Technologies Ag Process for producing a porous insulating layer with a low dielectric constant on a semiconductor substrate
US6482749B1 (en) 2000-08-10 2002-11-19 Seh America, Inc. Method for etching a wafer edge using a potassium-based chemical oxidizer in the presence of hydrofluoric acid
KR100795714B1 (en) 2000-08-21 2008-01-21 다우 글로벌 테크놀로지스 인크. Organosilicate resins as hardmasks for organic polymer dielectrics in fabrication of microelectronic devices
US6232168B1 (en) * 2000-08-25 2001-05-15 Micron Technology, Inc. Memory circuitry and method of forming memory circuitry
US6787833B1 (en) 2000-08-31 2004-09-07 Micron Technology, Inc. Integrated circuit having a barrier structure
JP2002094027A (en) * 2000-09-11 2002-03-29 Toshiba Corp Semiconductor memory device and its manufacturing method
TW525221B (en) 2000-12-04 2003-03-21 Ebara Corp Substrate processing method
US6621112B2 (en) * 2000-12-06 2003-09-16 Infineon Technologies Ag DRAM with vertical transistor and trench capacitor memory cells and methods of fabrication
KR100360414B1 (en) * 2001-01-05 2002-11-13 삼성전자 주식회사 Method for forming a lower electrode of cylinder type capacitor preventing a twin bit failure
KR100388682B1 (en) * 2001-03-03 2003-06-25 삼성전자주식회사 Storage electric terminal layer and method for forming thereof
JP3671854B2 (en) 2001-04-05 2005-07-13 松下電器産業株式会社 Surface treatment method for silicon substrate
KR100422063B1 (en) 2001-05-02 2004-03-10 삼성전자주식회사 Capacitor in semiconductor device and method for manufacturing the same
TW540154B (en) * 2001-06-04 2003-07-01 Promos Technologies Inc Deep trench capacitor structure and its manufacturing method
KR100431656B1 (en) * 2001-09-11 2004-05-17 삼성전자주식회사 Method of manufacturing semiconductor device
EP1306894A1 (en) * 2001-10-19 2003-05-02 Infineon Technologies AG A method of forming a silicon dioxide layer on a curved Si surface
JP4060572B2 (en) 2001-11-06 2008-03-12 株式会社東芝 Semiconductor memory device and manufacturing method thereof
US6656748B2 (en) 2002-01-31 2003-12-02 Texas Instruments Incorporated FeRAM capacitor post stack etch clean/repair
KR100487519B1 (en) 2002-02-05 2005-05-03 삼성전자주식회사 Capacitor Of Semiconductor Device And Method Of Fabricating The Same
KR100423900B1 (en) 2002-02-08 2004-03-22 삼성전자주식회사 Method Of Forming A Capacitor Of Semiconductor Device
US6617222B1 (en) 2002-02-27 2003-09-09 Micron Technology, Inc. Selective hemispherical silicon grain (HSG) conversion inhibitor for use during the manufacture of a semiconductor device
US6515325B1 (en) 2002-03-06 2003-02-04 Micron Technology, Inc. Nanotube semiconductor devices and methods for making the same
JP4064695B2 (en) 2002-03-19 2008-03-19 富士通株式会社 Manufacturing method of semiconductor device
KR100459707B1 (en) 2002-03-21 2004-12-04 삼성전자주식회사 Semiconductor device having cylinder-type capacitor and fabricating method thereof
KR100473113B1 (en) 2002-04-04 2005-03-08 삼성전자주식회사 Method Of Fabricating A Capacitor Of Semiconductor Device
US6620724B1 (en) 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
JP4047631B2 (en) * 2002-05-28 2008-02-13 エルピーダメモリ株式会社 Semiconductor integrated circuit device having crown-structured capacitor and manufacturing method thereof
US6784479B2 (en) 2002-06-05 2004-08-31 Samsung Electronics Co., Ltd. Multi-layer integrated circuit capacitor electrodes
KR100475272B1 (en) 2002-06-29 2005-03-10 주식회사 하이닉스반도체 Manufacturing Method of Semiconductor Device
US6727540B2 (en) * 2002-08-23 2004-04-27 International Business Machines Corporation Structure and method of fabricating embedded DRAM having a vertical device array and a bordered bitline contact
JP4353685B2 (en) 2002-09-18 2009-10-28 株式会社ルネサステクノロジ Semiconductor device
US6645869B1 (en) 2002-09-26 2003-11-11 Vanguard International Semiconductor Corporation Etching back process to improve topographic planarization of a polysilicon layer
KR100481867B1 (en) 2002-11-11 2005-04-11 삼성전자주식회사 Ferroelectric capacitor and method for fabricating the same
JP4155810B2 (en) 2002-12-13 2008-09-24 株式会社リコー Rare earth magnet block manufacturing equipment
DE10259331B4 (en) * 2002-12-18 2005-02-10 Infineon Technologies Ag Production process for a photomask for an integrated circuit and corresponding photomask
US6812150B2 (en) 2002-12-26 2004-11-02 Micron Technology, Inc. Methods for making semiconductor device structures with capacitor containers and contact apertures having increased aspect ratios
JP4502173B2 (en) 2003-02-03 2010-07-14 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
TW578328B (en) 2003-03-28 2004-03-01 Gemtek Technology Co Ltd Dual-frequency inverted-F antenna
US6720232B1 (en) * 2003-04-10 2004-04-13 Taiwan Semiconductor Manufacturing Company Method of fabricating an embedded DRAM for metal-insulator-metal (MIM) capacitor structure
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
KR100526880B1 (en) * 2003-06-27 2005-11-09 삼성전자주식회사 Method for forming storage node contact for use in semiconductor memory and storage node contact structure
JP2005032982A (en) 2003-07-14 2005-02-03 Renesas Technology Corp Semiconductor device
US7440255B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Capacitor constructions and methods of forming
US6784069B1 (en) * 2003-08-29 2004-08-31 Micron Technology, Inc. Permeable capacitor electrode
US7125781B2 (en) * 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) * 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
DE10344814B3 (en) 2003-09-26 2005-07-14 Infineon Technologies Ag Storage device for storing electrical charge and method for its production
JP4746835B2 (en) * 2003-10-20 2011-08-10 ルネサスエレクトロニクス株式会社 Nonvolatile semiconductor memory device
US6962846B2 (en) 2003-11-13 2005-11-08 Micron Technology, Inc. Methods of forming a double-sided capacitor or a contact using a sacrificial structure
KR100546395B1 (en) * 2003-11-17 2006-01-26 삼성전자주식회사 Capacitor of semiconductor device and method of manufacturing the same
US7019346B2 (en) * 2003-12-23 2006-03-28 Intel Corporation Capacitor having an anodic metal oxide substrate
KR100553835B1 (en) 2004-01-26 2006-02-24 삼성전자주식회사 Capacitor and Method for manufacturing the same
KR100568733B1 (en) 2004-02-10 2006-04-07 삼성전자주식회사 Capacitor having enhanced structural stability, Method of manufacturing the capacitor, Semiconductor device having the capacitor, and Method of manufacturing the semiconductor device
US7153778B2 (en) * 2004-02-20 2006-12-26 Micron Technology, Inc. Methods of forming openings, and methods of forming container capacitors
US7468323B2 (en) 2004-02-27 2008-12-23 Micron Technology, Inc. Method of forming high aspect ratio structures
US7005379B2 (en) * 2004-04-08 2006-02-28 Micron Technology, Inc. Semiconductor processing methods for forming electrical contacts
US7279379B2 (en) * 2004-04-26 2007-10-09 Micron Technology, Inc. Methods of forming memory arrays; and methods of forming contacts to bitlines
US7053453B2 (en) 2004-04-27 2006-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Substrate contact and method of forming the same
KR100539268B1 (en) 2004-06-24 2005-12-27 삼성전자주식회사 Method of manufacturing semiconductor memory device
US7387939B2 (en) * 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US20060024958A1 (en) * 2004-07-29 2006-02-02 Abbas Ali HSQ/SOG dry strip process
US7160788B2 (en) * 2004-08-23 2007-01-09 Micron Technology, Inc. Methods of forming integrated circuits
US7442600B2 (en) 2004-08-24 2008-10-28 Micron Technology, Inc. Methods of forming threshold voltage implant regions
US7235479B2 (en) 2004-08-26 2007-06-26 Applied Materials, Inc. Organic solvents having ozone dissolved therein for semiconductor processing utilizing sacrificial materials
US7202127B2 (en) * 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7439152B2 (en) * 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20060046055A1 (en) * 2004-08-30 2006-03-02 Nan Ya Plastics Corporation Superfine fiber containing grey dope dyed component and the fabric made of the same
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7312131B2 (en) * 2004-11-30 2007-12-25 Promos Technologies Inc. Method for forming multilayer electrode capacitor
US7320911B2 (en) * 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
JP2006217447A (en) 2005-02-07 2006-08-17 Yazaki Corp Vehicle display apparatus
JP2006261193A (en) 2005-03-15 2006-09-28 Toshiba Corp Semiconductor memory device and its manufacturing method
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7341909B2 (en) * 2005-04-06 2008-03-11 Micron Technology, Inc. Methods of forming semiconductor constructions
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7491650B2 (en) * 2005-07-27 2009-02-17 Micron Technology, Inc. Etch compositions and methods of processing a substrate
US7199005B2 (en) * 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI278069B (en) 2005-08-23 2007-04-01 Nanya Technology Corp Method of fabricating a trench capacitor having increased capacitance
US7608523B2 (en) 2005-08-26 2009-10-27 Disco Corporation Wafer processing method and adhesive tape used in the wafer processing method
US7226845B2 (en) * 2005-08-30 2007-06-05 Micron Technology, Inc. Semiconductor constructions, and methods of forming capacitor devices
US7713813B2 (en) * 2005-08-31 2010-05-11 Micron Technology, Inc. Methods of forming capacitors
US20070057304A1 (en) 2005-09-12 2007-03-15 Infineon Technologies Ag Capacitor structure, memory cell and method for forming a capacitor structure
JP2007088113A (en) * 2005-09-21 2007-04-05 Sony Corp Manufacturing method of semiconductor device
US7235485B2 (en) 2005-10-14 2007-06-26 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
US20070099328A1 (en) * 2005-10-31 2007-05-03 Yuan-Sheng Chiang Semiconductor device and interconnect structure and their respective fabricating methods
US7544621B2 (en) * 2005-11-01 2009-06-09 United Microelectronics Corp. Method of removing a metal silicide layer on a gate electrode in a semiconductor manufacturing process and etching method
US7850836B2 (en) 2005-11-09 2010-12-14 Nanyang Technological University Method of electro-depositing a conductive material in at least one through-hole via of a semiconductor substrate
JP2006135364A (en) 2006-02-16 2006-05-25 Renesas Technology Corp Manufacturing method of semiconductor integrated circuit device
US20070207622A1 (en) 2006-02-23 2007-09-06 Micron Technology, Inc. Highly selective doped oxide etchant
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20070257323A1 (en) 2006-05-05 2007-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked contact structure and method of fabricating the same
KR100875654B1 (en) * 2006-09-28 2008-12-26 주식회사 하이닉스반도체 Storage node contact formation method of semiconductor device
US7902081B2 (en) * 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
KR100840782B1 (en) 2007-01-16 2008-06-23 삼성전자주식회사 Siloxane polymer composition and method of manufacturing a capacitor using the same
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7807580B2 (en) 2007-04-30 2010-10-05 Spansion Llc Triple poly-si replacement scheme for memory devices
US7682924B2 (en) * 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US8274777B2 (en) 2008-04-08 2012-09-25 Micron Technology, Inc. High aspect ratio openings
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8268695B2 (en) * 2008-08-13 2012-09-18 Micron Technology, Inc. Methods of making capacitors

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030087501A1 (en) * 2000-06-28 2003-05-08 Hyundai Electronics Industries Co., Ltd. Capacitor and method of manufacturing the same
US20030169629A1 (en) * 2000-07-31 2003-09-11 Bernd Goebel Semiconductor memory cell configuration and a method for producing the configuration
US20070181929A1 (en) * 2005-06-27 2007-08-09 Micron Technology, Inc. Semiconductor Constructions, Memory Cells, DRAM Arrays, Electronic Systems; Methods of Forming Semiconductor Constructions; and Methods of Forming DRAM Arrays

Also Published As

Publication number Publication date
KR20110039334A (en) 2011-04-15
EP2297779A4 (en) 2012-12-05
US7759193B2 (en) 2010-07-20
EP2297779B1 (en) 2015-12-02
CN102089877A (en) 2011-06-08
TW201007889A (en) 2010-02-16
KR101184513B1 (en) 2012-09-19
US8163613B2 (en) 2012-04-24
US20100266962A1 (en) 2010-10-21
CN102089877B (en) 2013-10-16
EP2297779A2 (en) 2011-03-23
WO2010005670A2 (en) 2010-01-14
TWI384587B (en) 2013-02-01
US20100009512A1 (en) 2010-01-14

Similar Documents

Publication Publication Date Title
WO2010005670A3 (en) Methods of forming a plurality of capacitors
WO2007149465A3 (en) Electrode with increased stability and method of manufacturing the same
TW200642033A (en) Methods of forming pluralities of capacitors
WO2011068685A3 (en) Compressed powder 3d battery electrode manufacturing
WO2010011509A3 (en) Electrode device
WO2010088686A3 (en) Capacitors using preformed dielectric
TW200625604A (en) Phase change memory and fabricating method thereof
WO2012097297A3 (en) Regenerative peripheral nerve interface
EP1653532A4 (en) Method for producing lithium ion secondary battery
WO2010013972A3 (en) Solar cell and method for manufacturing the same
WO2014170912A8 (en) All-solid-state-supercapacitor and a process for the fabrication thereof
TW200608424A (en) Process for the production of electrolytic capacitors
WO2011016903A8 (en) Bipolar electrode and supercapacitor desalination device, and methods of manufacture
WO2011106155A3 (en) Memory cell with silicon-containing carbon switching layer and methods for forming the same
WO2009023396A3 (en) Methods of forming a plurality of capacitors
RU2012106418A (en) NANOSTRUCTURAL ELECTRODE FOR Pseudo-capacitive energy storage
SE0502846L (en) Procedure for making a battery and a battery
WO2006035984A3 (en) Multi-layer capacitor and molded capacitor
WO2007071097A3 (en) A film, a capacitor, a voltage transformer and a method of using a capacitor
EP3923375A4 (en) Battery electrode manufacturing method
EP1800321A4 (en) Electrode sheet for capacitors, method of manufacturing the same, and electrolytic capacitor
EP3758106A4 (en) Method for manufacturing electrode comprising polymer-based solid electrolyte, and electrode manufactured by method
WO2011014744A3 (en) Solid electrolytic capacitors with improved esr stability
EP3648213A4 (en) Solid polymer-type fuel cell catalyst carrier, method for manufacturing solid polymer-type fuel cell catalyst carrier, catalyst layer for solid polymer-type fuel cell, and fuel cell
WO2016100260A3 (en) Method for chemical binding of the dielectric to the electrode after their assembly

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980126565.1

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09794877

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

WWE Wipo information: entry into national phase

Ref document number: 2009794877

Country of ref document: EP

ENP Entry into the national phase

Ref document number: 20117002912

Country of ref document: KR

Kind code of ref document: A