WO2010048094A2 - Pvd cu seed overhang re-sputtering with enhanced cu ionization - Google Patents

Pvd cu seed overhang re-sputtering with enhanced cu ionization Download PDF

Info

Publication number
WO2010048094A2
WO2010048094A2 PCT/US2009/061184 US2009061184W WO2010048094A2 WO 2010048094 A2 WO2010048094 A2 WO 2010048094A2 US 2009061184 W US2009061184 W US 2009061184W WO 2010048094 A2 WO2010048094 A2 WO 2010048094A2
Authority
WO
WIPO (PCT)
Prior art keywords
metal layer
substrate
depositing
deposited
openings
Prior art date
Application number
PCT/US2009/061184
Other languages
French (fr)
Other versions
WO2010048094A3 (en
Inventor
Yong Cao
Xianmin Tang
Tza-Jing Gung
Praburam Gopalraja
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to CN200980142184.2A priority Critical patent/CN102197457B/en
Priority to KR1020177017595A priority patent/KR101867531B1/en
Priority to JP2011533256A priority patent/JP5701214B2/en
Publication of WO2010048094A2 publication Critical patent/WO2010048094A2/en
Publication of WO2010048094A3 publication Critical patent/WO2010048094A3/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/14Metallic material, boron or silicon
    • C23C14/18Metallic material, boron or silicon on other inorganic substrates
    • C23C14/185Metallic material, boron or silicon on other inorganic substrates by cathodic sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • C23C14/5833Ion beam bombardment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Definitions

  • Embodiments of the invention relate to methods and apparatus for processing a substrate. More particularly, embodiments of the invention relate to methods and apparatus for depositing metal layers on a patterned substrate.
  • Sputtering also known as physical vapor deposition (PVD) is an important method of forming metallic features in integrated circuits. Sputtering deposits material in a layer on a substrate. A "target” is bombarded by ions strongly accelerated by an electric field. The bombardment ejects material from the target, and the material then deposits on the substrate.
  • PVD physical vapor deposition
  • a dielectric layer is generally formed over a conductive layer or feature and patterned to expose the conductive feature at the bottom of a via or trench.
  • a barrier layer is generally deposited to prevent interdiffusion between layers, and then metal is sputtered into the trench.
  • Sputtering is essentially ballistic in nature. Fast-moving ions barrel into the target, spraying particles from the target surface. The particles may be charged by the interaction with the incident ions through a charge transfer mechanism, they may be charged through interaction with any electric fields existing in the space, or they may remain uncharged. Deposition generally occurs faster on field regions and near the tops of trench sidewalls, as illustrated schematically in prior art Figure 1. Substrate 10, with patterned dielectric 12, has been sputtered to deposit layer 14. Deposition has occurred faster near the top of side walls 16 and on field regions 18. This happens because ejected particles travel in all directions, rather than travelling in directions generally orthogonal to the substrate surface, and generally contact the substrate surface before penetrating deeply into the trench.
  • the particles may be ionized and accelerated under an electrical bias applied to the substrate.
  • the accelerated ions travel more uniformly in a direction orthogonal to the surface of the substrate.
  • their momentum carries them into the trench, whereupon they deflect toward the trench sidewall under the influence of the electrical bias. Nonetheless, the deeper penetration into the trench reduces the effect of "overhang" near the top of the sidewall, but does not eliminate it entirely.
  • Overhang may result in metal plugs with holes or voids in them. If the deposition process is carried out too long, two overhang portions grow together over a trench, closing the trench to any future deposition, and forming a hole. Such holes are not conductive, and severely diminish the electrical conductivity of the formed feature. As devices formed on semiconductor substrates grow smaller and smaller, aspect ratio, the ratio of height to width, of trenches and vias formed in substrate layers grows larger. Higher aspect ratio geometries are more difficult to fill without voids. Sputtering processes are thus continually challenged to overcome the increasingly vexing problem of overhang management.
  • Embodiments of the invention provide a method of processing a substrate having openings formed in a field region, comprising depositing a first metal layer on the substrate, depositing a second metal layer on the substrate, subjecting the first metal layer to a brittle surface modification process, and subjecting the first metal layer to a plastic surface modification process.
  • Other embodiments provide a method of depositing a conformal metal layer in openings formed in a field region of a substrate, comprising disposing the substrate on a substrate support in a processing chamber, depositing a first metal layer having thick regions and thin regions on the substrate in a physical vapor deposition process, depositing a second metal layer over the first metal layer in a physical vapor deposition process, and while depositing the second metal layer, simultaneously ejecting material from the first metal layer and redepositing the ejected material with the second metal layer, and pushing metal from the thick regions of the first metal layer to the thin regions of the first metal layer.
  • Figure 1 is a schematic cross-sectional view of a prior art substrate.
  • Figure 2A is a flow diagram summarizing a method according to one embodiment of the invention.
  • Figures 2B-2E are schematic cross-sectional views of a substrate at various stages of the method of Figure 2A.
  • Figure 3A is a flow diagram summarizing a method according to another embodiment of the invention.
  • Figures 3B-3G are schematic cross-sectional views of a substrate at various stages of the method of Figure 3A.
  • Figure 4 is a cross-sectional view of an apparatus according to another embodiment of the invention.
  • Figure 5 is a detail view of a portion of the apparatus of Figure 4.
  • Figure 6 is a plan view of one embodiment of a ring collimator.
  • Figure 7 is a partial plan view of one embodiment of a honeycomb collimator.
  • Figure 8A is a cross-sectional view of one embodiment of a substrate support.
  • Figure 8B is a cross-sectional view of another embodiment of a substrate support.
  • Embodiments of the invention generally provide methods and apparatus for processing semiconductor substrates.
  • the methods and apparatus described herein may be adapted to perform deposition processes, such as metal deposition processes or physical vapor deposition processes, on substrates.
  • substrates can be formed from any material that has some natural electrical conducting ability or a material that can be modified to provide the ability to conduct electricity.
  • Typical substrate materials include, but are not limited to, semiconductors, such as silicon (Si) and germanium (Ge), as well as other compounds that exhibit semiconducting properties.
  • semiconductor compounds generally include group MI-V and group M-VI compounds.
  • MI-V semiconductor compounds include, but are not limited to, gallium arsenide (GaAs), gallium phosphide (GaP), and gallium nitride (GaN).
  • semiconductor substrates generally includes bulk semiconductor substrates as well as substrates having deposited layers disposed thereon.
  • the deposited layers in some semiconductor substrates processed by the methods of the present invention are formed by either homoepitaxial ⁇ e.g., silicon on silicon) or heteroepitaxial ⁇ e.g., GaAs on silicon) growth.
  • the methods of the present invention may be used with gallium arsenide and gallium nitride substrates formed by heteroepitaxial methods.
  • the invented methods can also be applied to form integrated devices, such as thin-film transistors (TFTs), on relatively thin crystalline silicon layers formed on insulating substrates ⁇ e.g., silicon-on- insulator [SOI] substrates).
  • TFTs thin-film transistors
  • a variety of metals may be deposited using the methods and apparatus described herein. Although the methods described herein are particularly useful for depositing copper, other metals such as aluminum, cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations or alloys thereof may also be deposited using these methods.
  • Figure 2A is a flow diagram summarizing a method 200 according to one embodiment of the invention.
  • a substrate is disposed in a process chamber at 210.
  • Figure 2B is a schematic cross-sectional diagram of a substrate that may be processed according to methods described herein.
  • the substrate of Figure 2B has an underlying layer 250, and a patterned layer 270 thereon.
  • the underlying layer 250 may be conductive or semiconductive, and the patterned layer 270 will generally be a dielectric material.
  • the patterned layer 270 generally has a field region 252, and trenches or vias having side walls 254 and bottom portions 256.
  • the openings in the patterned layer will generally exhibit an aspect ratio greater than about 1 :1 , such as greater than about 4:1 , for example greater than about 10:1.
  • the process chamber to be used for the method 200 will be configured to deposit a material on the substrate by bombarding the substrate with ions.
  • Such an ion deposition chamber may be a physical vapor deposition (PVD) chamber in some embodiments.
  • PVD physical vapor deposition
  • An exemplary chamber is described below in connection with Figure 4.
  • a first metal layer is deposited over the substrate using a first PVD process.
  • the first PVD process comprises providing a target having material to be deposited and generating an ion plasma proximate the target.
  • the ions are propelled toward the target by an electromagnetic field established near the target, and upon impact eject material from the target.
  • the ejected species may be neutral or electrically charged, and may change state thereafter by interaction with other particles in the plasma.
  • the target may contain any material desirous of being deposited on the substrate.
  • the target is copper.
  • the target may be other metals, such as aluminum, cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof.
  • An electrical bias is applied to the target or the substrate to ionize the gases therebetween and to propel the ions toward the target.
  • the bias may be DC or RF powered, and is generally between about 10V and about 2,400V, applied at a power level between about 50 Watts and about 1 ,000 Watts.
  • the bias voltage is between about 20V and about 100V, such as between about 30V and about 70V, for example about 50V, and the bias power is between about 100 Watts and about 200 Watts, such as about 120 Watts.
  • the bias is powered by RF power, which may be modified by a low or high-pass filter.
  • the bias may be positive or negative and may be applied to the target or the substrate.
  • the substrate is generally held at a temperature selected to encourage accumulation of sputtered material on the substrate.
  • the substrate temperature is controlled between about 0 ° C and about 600 ° C, for example about 75 ° C.
  • the substrate temperature may be higher than 5 ° C, such as between about 5 ° C and about 600 ° C, or between about 20 0 C and about 300 ° C, such as about 50 ° C.
  • the chamber is typically maintained under vacuum.
  • the chamber pressure may be less than about 10 Torr, such as less than about 1 Torr, or less than 100 mTorr, such as about 1 mTorr.
  • the particles may be controlled to an angle of incidence with the substrate.
  • particle trajectories may be controlled such that no particle has an angle of incidence with the plane defined by the substrate surface that is less than about 60 ° .
  • the control angle may be even higher, such as about 70 ° or about 80°.
  • a physical alignment device that controls angle of incidence above about 60 ° , for example, may result in a net reduction of mass flux between about 10% and about 50%, such as about 30%.
  • a typical embodiment may achieve a mass flux of between about 5 ⁇ g/cm 2 -sec and about 100 ⁇ g/cm 2 -sec, such as between about 10 ⁇ g/cm 2 -sec and 50 ⁇ g/cm 2 -sec, for example about 30 ⁇ g/cm 2 -sec, depending also on the sputtering energy.
  • electrostatic means to align the trajectories of ions being deposited by PVD. This avoids reducing mass flux and deposition rate.
  • a first metal layer is deposited on the substrate, as illustrated in Figure 2C.
  • a first metal layer 258 is deposited over the underlying layer 250 and the patterned layer 270, covering the field region 252, the side walls 254, and the bottom portions 256.
  • the first metal layer has an overhang region 260, where the first metal layer is thicker than in a side wall region 264. Particles have increasing difficulty penetrating the restrictive opening formed by the encroaching overhang regions, and increasingly deposit on the field region 252. Consequently, formation of the bottom portion 262 of the first metal layer 258 covering the bottom portion 256 of the trench slows.
  • the first metal layer will have a generally curved surface that follows the contours of the underlying substrate.
  • the overhang regions and bottom portions usually have the most curvature, corresponding to the smallest radius of curvature.
  • the first metal layer has a radius of curvature less than the width of the openings formed in the underlying substrate.
  • the radius of curvature may be less than about half the width of the openings.
  • the curvature of the surface may be abrupt near the top of the openings, forming one or more substantially angular features near the top of the openings in the underlying substrate.
  • the portion of the first metal layer covering the field region comprises a capping portion. The one or more substantially angular features will be thinnest immediately above the top corner of the opening where the side wall region meets the field region.
  • a second metal layer is deposited on the substrate at 230.
  • the second metal layer may have the same or different composition from the first metal layer.
  • the bias energy is increased to support a deposition process that includes modification of the surface of the first metal layer 258.
  • the bias energy may be increased to between about 500 Watts and about 5,000 Watts, such as between about 800 Watts and about 3,000 Watts, for example about 1 ,000 Watts.
  • the bias voltage may also be increased to between about 100V and about 2,500V, such as between about 200V and about 1 ,000V, for example about 350V.
  • the second deposition process comprises applying an RF bias and a DC bias to the target or the substrate. The RF and DC bias may each be applied at any of the power levels described above for the second deposition process.
  • the higher bias energy of the second deposition process imparts more energy to the substrate and the deposited metal layer disposed thereon. This energy results in surface modification of the deposited metal layer through brittle and plastic processes.
  • Metal deposited in the first metal layer may be subjected to a surface modification process until the second metal layer develops, at which point the second metal layer may be subjected to the surface modification process.
  • ions accelerated by the increased bias impact the surface of the deposited metal layer and eject material therefrom. The ejected material re-deposits elsewhere on the surface of the deposited metal layer.
  • a plastic surface modification process atoms from the deposited metal layer are pushed along the surface of the deposited metal layer from one location to another without leaving the surface.
  • FIG. 2D schematically illustrates the substrate undergoing the second deposition process described above.
  • Ions 266 bombard the surface of the deposited metal layer 258.
  • the ions 266 have directional trajectories oriented toward the substrate surface due to use of a physical alignment device, such as a collimator, or electrostatic alignment means, and therefore travel into the openings formed in patterned layer 270.
  • Some of the ions impact the bottom portion 262 of the deposited metal layer, some impact the side wall portion 264, and some impact the overhang portion 260.
  • some material is ejected from the deposited metal layer 258, for example from the bottom portion 262 of the deposited metal layer, and re-deposits on the deposited metal layer, for example on the side wall portion 264.
  • Some impacts also push material along the surface of the deposited metal layer, for example from the overhang portion 260 to the side wall portion 264.
  • These surface modification processes are applied at 240 to equalize the thickness of the metal layer on the surface.
  • deposition of metal ions during the second deposition process increases the thickness of the deposited metal layer near the top comer of the opening.
  • the surface modification process moves deposited metal from thicker portions of the layer to thinner portions.
  • Figure 2E illustrates the substrate having undergone the surface modification processes 240.
  • the deposited metal layer 258 has a substantially conformal profile resulting from the interacting deposition and surface modification processes 230 and 240.
  • FIG. 3A is a flow diagram summarizing a method 300 according to another embodiment of the invention.
  • a substrate to be processed is disposed on a substrate support in a processing chamber.
  • An exemplary substrate is illustrated in Figure 3B.
  • the substrate has an underlying layer 350 and a patterned layer 380.
  • the patterned layer has a field region 352 with openings that have side walls 354 and bottom portions 356.
  • the bottom portions 356 may expose portions of the underlying layer 350.
  • the underlying layer 350 may be conductive or semiconductive, while the patterned layer 380 is insulating or dielectric.
  • the openings may expose the conductive or semiconductive material of the underlying layer 350.
  • the substrate is bombarded with metal ions having a first energy in a first PVD process.
  • Figure 3C illustrates the substrate of Figure 3B undergoing the process of 304.
  • the metal ions 358 are directed toward the substrate by use of physical or electrostatic alignment means, as described above, and impact the substrate surface. Due to the high directionality of the metal ion trajectories, most impacts happen on the field region 352, the upper part of the side walls 354, and the bottom portions 356 of the openings.
  • a first metal layer is deposited on the substrate.
  • Figure 3D illustrates the first metal layer 360 deposited on the substrate covering the field region 352, side walls 354, and bottom portions 356 of the openings.
  • An overhang portion 362 of the first metal layer 360 forms due to preferential deposition on the field region 352 and upper parts of the side walls 354.
  • the overhang portions 362 narrow the openings, reducing ion flux into the openings. Due to the directionality of the ions, this reduced flux impacts deposition on the side walls 354 more than deposition on the bottom portions 356 of the openings, resulting in thick areas and thin areas of the deposited metal layer.
  • the first metal layer 360 will generally have a curved surface or profile following the contours of the underlying substrate.
  • the curvature of the surface will have similar characteristics to those of the embodiment of figures 2A-2E, including embodiments having a substantially angular feature near the tops of the openings.
  • the first metal layer is bombarded with metal ions having a second energy in a second PVD process.
  • the second energy will preferably be selected to reduce a surface energy of the first metal layer to support plastic flow of metal atoms on the surface of the metal layer.
  • the second energy will reduce the binding energy of atoms at the surface of the metal layer.
  • the second energy will reduce the lattice energy of the surface.
  • the second energy will adapt a temperature of the first metal layer, and layers deposited during the second deposition process, to support plastic flow of metal atoms on the surface of the metal layer.
  • the temperature of the metal layer during the second deposition process will be above about 50 ° C, such as between about 50 ° C and about 200 0 C or between about 80 ° C and about 180 ° C, for example about 150 ° C.
  • Thermal control may be employed to prevent the substrate from attaining a temperature at which metal begins to agglomerate.
  • a thermally controlled substrate support may be used to impart thermal flux to the substrate.
  • Figure 3E illustrates the substrate undergoing the second deposition process of 308. Ions 368 bombard the metal layer 360 deposited on the substrate, depositing on the surface and imparting energy to achieve the desired temperature.
  • the ions impact the deposited metal layer, dislodging and relocating material from the deposited metal layer in a brittle surface modification process.
  • a brittle surface modification process is characterized by physical separation of particles from the surface upon impact.
  • Figure 3F is a detail view of a portion of the substrate undergoing the process of 310. Exemplary ion 368 has penetrated through the narrowed opening between overhang portions 362 of the deposited metal layer 360, and impacts the bottom portion 366 of the deposited metal layer 360. The energy of the impact is such that a particle of material 370 is ejected from the surface.
  • the ejected particle 370 travels a trajectory 372 away from the bottom portion 366 of the deposited metal layer 360, and re-deposits on the side wall portion 364 of the deposited metal layer 360.
  • Particles having an energy generally greater than about 100 eV may dislodge particles from the metal layer 360.
  • the incident particles have energy between about 100 eV and about 1 ,000 eV, such as between about 300 eV and about 700 eV, for example about 500 eV.
  • the trajectory of the dislodged particle generally tends toward the side wall portion 364 of the metal layer 360 due to statistical exit angle of dislodged particles, increasing gas density higher in the opening, and electrostatic effects if the dislodged particle acquires a charge.
  • ions impact the deposited metal layer, pushing material from thick regions to thin regions along the surface in a plastic surface modification process.
  • a plastic surface modification process is characterized by particles being dislodged from their location at the surface and moved to another location on the surface without being physically separated from the surface. The bonds holding the particles at the surface are stretched, and some are broken, but the particles are never completely unbonded from the surface.
  • Figure 3G is a detail view of a portion of the substrate undergoing the process of 312. Exemplary ion 368 impacts a thick region of the metal layer 360, perhaps impinging the overhang portion 362.
  • ion 368 At high angles of incidence and low energies, ion 368 will merely deposit on the surface of metal layer 360, but if incidence angle is low and energy is high enough, the momentum of ion 368 will transfer to one or more particles such as particle 374 at the surface and dislodge them from their position.
  • the particle 374 In a plastic surface modification process, the particle 374 is not ejected from the surface of the metal layer 360, but moves along the surface remaining in contact therewith, as illustrated by trajectory 376. Many such particles will be pushed from the thick region to the thin region near the side wall portion 364 of metal layer 360. Some particles will undergo movement only parallel to the surface, pushing through atoms at the surface, while some particles may undergo movement perpendicular to the surface as well.
  • Particles undergoing perpendicular movement may vacate their position in the metal matrix and move to a position on top of surface atoms, possibly forming a new surface layer or nucleation site, and possibly sinking back into the surface layer at another location. Other particles may move beneath the surface, resulting in uplift of layers nearer the surface.
  • the interaction of deposition in 308, brittle surface modification at 310, and plastic surface modification at 312 produces an equalizing of thickness of the metal layer 360, resulting in a substantially conformal metal layer formed over the substrate.
  • thickness of the deposited metal layer will grow near the top corner of the opening as metal ions are deposited during the second deposition process.
  • the processes 200 and 300 of figures 2A and 3A are described in the context of ions bombarding the substrate surface, but neutral particles may also be advantageously used.
  • the processes of depositing, dislodging by a brittle surface modification process, and moving by a plastic surface modification process may proceed concurrently, simultaneously, or independently.
  • the second deposition process will begin before either the brittle or plastic surface modification processes, and the brittle surface modification process will begin before the plastic surface modification process begins.
  • the two surface modification processes may begin at about the same time.
  • the three processes will proceed concurrently or simultaneously, but may begin at different times.
  • the brittle surface modification process may begin before the second deposition process ends, and the plastic surface modification process may begin before the brittle surface modification process ends.
  • FIG. 4 illustrates one embodiment of a PVD chamber 436.
  • suitable PVD chambers are ALPS® plus and SIP ENCORETM PVD processing chambers, both commercially available from Applied Materials, Inc., of Santa Clara, California.
  • the PVD chamber 436 contains a sputtering source, such as a target 442, and a substrate support 452 for receiving a semiconductor substrate 454 thereon and located within a grounded enclosure wall 450, which may be a chamber wall as shown or a grounded shield.
  • the substrate support 452 is shown as a pedestal in the embodiment of Figure 4, but in other embodiments, other types of substrate supports, such as edge rings or pins, may be used.
  • the chamber 436 includes a target 442 supported on and sealed, as by O-rings (not shown), to a grounded conductive aluminum adapter 444 through a dielectric isolator 446.
  • the target 442 comprises the material to be deposited on the substrate 454 surface during sputtering, and may include copper, aluminum, cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof, which are used in forming metal suicide layers or conductive features.
  • the target 442 may also include a bonded composite of a metallic surface layer and a backing plate of a more workable metal.
  • the substrate support 452 supports the substrate 454 to be sputter coated in planar opposition to the principal face of the target 442.
  • Substrate supports such as substrate support 452 have a planar substrate-receiving surface disposed generally parallel to the sputtering surface of the target 442.
  • the substrate support 452 is vertically movable through a bellows 458 connected to a bottom chamber wall 460 to allow the substrate 454 to be transferred onto the substrate support 452 through a load lock valve (not shown) in the lower portion of the chamber 436 and thereafter raised to a deposition position.
  • Processing gas is supplied from a gas source 462 through a mass flow controller 464 into the lower part of the chamber 436. Gases exit the chamber through conduit 468 with valve 466.
  • a controllable DC power source 478 coupled to the chamber 436 may be used to apply a negative voltage or bias to the target 442.
  • An RF power supply 456 may be connected to the substrate support 452 in order to induce a negative DC self-bias on the substrate 454, but in other applications the substrate support 452 may be grounded or left electrically floating.
  • a rotatable magnetron 470 is positioned in back of the target 442 and includes a plurality of horseshoe magnets 472 supported by a base plate 474 connected to a rotation shaft 476 coincident with the central axis of the chamber 436 and the substrate 454.
  • the horseshoe magnets 472 are arranged in closed pattern typically having a kidney shape.
  • the magnets 472 produce a magnetic field within the chamber 436, generally parallel and close to the front face of the target 442 to trap electrons and thereby increase the local plasma density, which in turn increases the sputtering rate.
  • the magnets 472 produce an electromagnetic field around the top of the chamber 436, and magnets 472 are rotated to rotate the electromagnetic field which influences the plasma density of the process to more uniformly sputter the target 442.
  • the chamber 436 of the invention includes a grounded bottom shield 480 having, as is more clearly illustrated in the exploded cross-sectional view of Figure 5, an upper flange 482 supported on and electrically connected to a ledge 484 of the adapter 444.
  • a dark space shield 486 is supported on the flange 482 of the bottom shield 480, and fasteners (not shown), such as screws recessed in the upper surface of the dark space shield 486 fix it and the flange 482 to the adapter ledge 484 having tapped holes receiving the screws.
  • This metallic threaded connection allows the two shields 480, 486 to be grounded to the adapter 444.
  • the adapter 444 in turn is sealed and grounded to an aluminum chamber sidewall 450.
  • Both shields 480, 486 are typically formed from hard, non-magnetic stainless steel.
  • the dark space shield 486 has an upper portion that closely fits an annular side recess of the target 442 with a narrow gap 488 between the dark space shield 486 and the target 442 which is sufficiently narrow to prevent the plasma from penetrating, hence protecting the dielectric isolator 446 from being sputter coated with a metal layer, which would electrically short the target 442.
  • the dark space shield 486 also includes a downwardly projecting tip 490, which prevents the interface between the bottom shield 480 and dark space shield 486 from becoming bonded by sputter deposited metal.
  • the bottom shield 480 extends downwardly in an upper generally tubular portion 494 of a first diameter and a lower generally tubular portion 496 of a smaller second diameter to extend generally along the walls of the adapter 444 and the chamber wall 450 to below the top surface of the substrate support 452. It also has a bowl-shaped bottom including a radially extending bottom portion 498 and an upwardly extending inner portion 400 just outside of the substrate support 452.
  • a cover ring 402 rests on the top of the upwardly extending inner portion 400 of the bottom shield 480 when the substrate support 452 is in its lower, loading position, but rests on the outer periphery of the substrate support 452 when it is in its upper, deposition position to protect the substrate support 452 from sputter deposition.
  • An additional deposition ring (not shown) may be used to shield the periphery of the substrate 454 from deposition.
  • the chamber 436 may also be adapted to provide a more directional sputtering of material onto a substrate.
  • directional sputtering may be achieved by positioning a collimator 410 between the target 442 and the substrate support 452 to provide a more uniform and symmetrical flux of deposition material on the substrate 454.
  • a metallic ring collimator 410 such as the Grounded Ring collimator, is illustrated in the embodiment of Figure 4.
  • the ring collimator 410 rests on the ledge portion 406 of the bottom shield 480, thereby grounding the collimator 410.
  • the ring collimator 410 includes an outer tubular section and at least one inner concentric tubular section, for example, three concentric tubular sections 412, 414, 416 linked by cross struts 418, 420 as shown in Figure 6.
  • the outer tubular section 416 rests on the ledge portion 406 of the bottom shield 480.
  • the use of the bottom shield 480 to support the collimator 410 simplifies the design and maintenance of the chamber 436.
  • At least the two inner tubular sections 412, 414 are of sufficient height to define high aspect-ratio apertures that partially collimate the sputtered particles. Further, the upper surface of the collimator 410 acts as a ground plane in opposition to the biased target 442, particularly keeping plasma electrons away from the substrate 454.
  • FIG. 7 Another type of collimator usable with the invention is a honeycomb collimator 724, partially illustrated in the plan view of Figure 7 having a mesh structure with hexagonal walls 726 separating hexagonal apertures 728 in a close- packed arrangement.
  • An advantage of the honeycomb collimator 724 is, if desired, the thickness of the collimator 724 can be varied from the center to the periphery of the collimator 724, usually in a convex shape, so that the apertures 728 have aspect ratios that are likewise varying across the collimator 724.
  • the collimator may have one or more convex sides. This allows the sputter flux density to be tailored across the substrate, permitting increased uniformity of deposition. Average sputter flux density of the collimator may also be affected by the average aspect ratio. In most embodiments, a honeycomb collimator such as collimator 724 will have aspect ratio between about 2:1 and about 5:1 , such as about 3:1.
  • the substrate support 452 is suitable for use in a PVD process.
  • the substrate support 452 includes a thermal control portion 810 disposed on a base 840 coupled to a shaft 845.
  • the thermal control portion 810 generally includes one or more heating elements 850 disposed in a thermally conducting material 820 and a substrate receiving surface 875.
  • the thermally conducting material 820 may be any material that has sufficient thermal conductance at operating temperatures for efficient heat transfer between the heating elements 850 and a substrate support surface 875.
  • An example of the conducting material is steel.
  • the substrate support surface 875 may include a dielectric material and typically includes a substantially planar receiving surface for a substrate 454 disposed thereon.
  • the heating elements 850 may be resistive heating elements, such as electrically conducting wires having leads embedded within the conducting material 820, and are provided to complete an electrical circuit by which electricity is passed through the conducting material 820.
  • An example of a heating element 850 includes a discrete heating coil disposed in the thermally conducting material 820. Electrical wires connect an electrical source 896, such as a voltage source, to the ends of the electrically resistive heating coil to provide energy sufficient to heat the coil.
  • the coil may take any shape that covers the area of the substrate support 452. More than one coil may be used to provide additional heating capability, if needed.
  • Fluid channels 890 may be coupled to a surface 826 of the thermal control portion 810 and may provide for either heating or cooling of the substrate support 452.
  • the fluid channels 890 may include a concentric ring or series of rings (not shown), or other desired configuration, having fluid inlets and outlets for circulating a liquid from a remotely located fluid source 894.
  • the fluid channels 890 are connected to the fluid source 894 by fluid passage 892 formed in the shaft 845 of substrate support 452.
  • Temperature sensors 860 such as a thermocouple, may be attached to or embedded in the substrate support 452, such as adjacent the thermal control portion
  • measured temperature may be used in a feedback loop to control electric current applied to the heating elements 850 from the electrical source 896, such that substrate temperature can be maintained or controlled at a desired temperature or within a desired temperature range.
  • a control unit (not shown) may be used to receive a signal from temperature sensors 860 and control the heat electrical source 896 or a fluid source 894 in response.
  • the electrical source 896 and the fluid source 894 of the heating and cooling components are generally located external of the chamber 436.
  • the utility passages, including the fluid passage 892, are disposed axially along the base 840 and shaft 845 of the substrate support 452.
  • a protective, flexible sheath 895 is disposed around the shaft 845 and extends from the substrate support 452 to the chamber wall (not shown) to prevent contamination between the substrate support 452 and the inside of the chamber 436.
  • the substrate support 452 may further contain gas channels (not shown) fluidly connecting with the substrate receiving surface 875 of the thermal control portion 810 to a source of backside gas (not shown).
  • the gas channels define a backside gas passage of a heat transfer gas or masking gas between the thermal control portion 810 and the substrate 454.
  • FIG. 8B illustrates another embodiment of the substrate support 452 having an electrostatic chuck mounted to or forming the thermal control portion 810 of the substrate support 452.
  • the thermal control portion 810 includes an electrode 830 and a substrate receiving surface 875 coated with a dielectric material 835. Electrically conducting wires (not shown) couple the electrodes 830 to a voltage source (not shown).
  • a substrate 454 may be placed in contact with the dielectric material 835, and a direct current voltage is placed on the electrode 830 to create an electrostatic attractive force to grip the substrate.
  • the electrodes 830 are disposed in the thermally conducting material 820 in a spaced relationship with the heating elements 850 disposed therein.
  • the heating elements 850 are generally disposed in a vertically spaced and parallel manner from the electrodes 830 in the thermally conducting material 820.
  • the electrodes 830 are disposed between the heating elements 850 and the substrate receiving surface 875 though other configurations may be used.
  • Gases may be provided to the substrate receiving surface 875 of the substrate support 452 from gas sources 872. Such gases may assist in thermal control of the substrate by contacting the back side of the substrate. Gases may travel through a central conduit of the shaft 875 and exit through openings in the substrate receiving surface 875 and the dielectric coating 835, if present.
  • the embodiments of the substrate support 452 described above may be used to support a substrate in a high vacuum anneal chamber.
  • the high vacuum anneal chamber may include substrate support pedestals 452 disposed in a PVD chamber, such as the chamber 436 described herein, with a blank target disposed therein or without a target and without bias coupled to either the target or substrate support pedestal.
  • suitable electrostatic chucks that may be used for the support pedestal include MCATM Electrostatic E-chuck or Pyrolytic Boron Nitride Electrostatic E-Chuck, both available from Applied Materials, Inc., of Santa Clara, California.

Abstract

A method and apparatus for depositing metal on a patterned substrate are provided. A metal layer is formed in a physical vapor deposition process having a first energy. A second physical vapor deposition process is performed on the metal layer, using a second energy, wherein deposition interacts with brittle and plastic surface modification processes to form a substantially conformal metal layer on the substrate.

Description

PVD CU SEED OVERHANG RE-SPUTTERING WITH ENHANCED CU IONIZATION
BACKGROUND OF THE INVENTION Field of the Invention
[0001] Embodiments of the invention relate to methods and apparatus for processing a substrate. More particularly, embodiments of the invention relate to methods and apparatus for depositing metal layers on a patterned substrate.
Description of the Related Art
[0002] Sputtering, also known as physical vapor deposition (PVD), is an important method of forming metallic features in integrated circuits. Sputtering deposits material in a layer on a substrate. A "target" is bombarded by ions strongly accelerated by an electric field. The bombardment ejects material from the target, and the material then deposits on the substrate.
[0003] Originally used to deposit material on a planar surface, sputtering has more recently been adapted to deposit material in trenches and vias formed on substrates. A dielectric layer is generally formed over a conductive layer or feature and patterned to expose the conductive feature at the bottom of a via or trench. A barrier layer is generally deposited to prevent interdiffusion between layers, and then metal is sputtered into the trench.
[0004] Sputtering is essentially ballistic in nature. Fast-moving ions barrel into the target, spraying particles from the target surface. The particles may be charged by the interaction with the incident ions through a charge transfer mechanism, they may be charged through interaction with any electric fields existing in the space, or they may remain uncharged. Deposition generally occurs faster on field regions and near the tops of trench sidewalls, as illustrated schematically in prior art Figure 1. Substrate 10, with patterned dielectric 12, has been sputtered to deposit layer 14. Deposition has occurred faster near the top of side walls 16 and on field regions 18. This happens because ejected particles travel in all directions, rather than travelling in directions generally orthogonal to the substrate surface, and generally contact the substrate surface before penetrating deeply into the trench. [0005] To encourage particles to travel into the trench before contacting the substrate surface, the particles may be ionized and accelerated under an electrical bias applied to the substrate. The accelerated ions travel more uniformly in a direction orthogonal to the surface of the substrate. As they approach the substrate surface, their momentum carries them into the trench, whereupon they deflect toward the trench sidewall under the influence of the electrical bias. Nonetheless, the deeper penetration into the trench reduces the effect of "overhang" near the top of the sidewall, but does not eliminate it entirely.
[0006] Overhang may result in metal plugs with holes or voids in them. If the deposition process is carried out too long, two overhang portions grow together over a trench, closing the trench to any future deposition, and forming a hole. Such holes are not conductive, and severely diminish the electrical conductivity of the formed feature. As devices formed on semiconductor substrates grow smaller and smaller, aspect ratio, the ratio of height to width, of trenches and vias formed in substrate layers grows larger. Higher aspect ratio geometries are more difficult to fill without voids. Sputtering processes are thus continually challenged to overcome the increasingly vexing problem of overhang management.
SUMMARY OF THE INVENTION
[0007] Embodiments of the invention provide a method of processing a substrate having openings formed in a field region, comprising depositing a first metal layer on the substrate, depositing a second metal layer on the substrate, subjecting the first metal layer to a brittle surface modification process, and subjecting the first metal layer to a plastic surface modification process.
[0008] Other embodiments provide a method of depositing a conformal metal layer in openings formed in a field region of a substrate, comprising disposing the substrate on a substrate support in a processing chamber, depositing a first metal layer having thick regions and thin regions on the substrate in a physical vapor deposition process, depositing a second metal layer over the first metal layer in a physical vapor deposition process, and while depositing the second metal layer, simultaneously ejecting material from the first metal layer and redepositing the ejected material with the second metal layer, and pushing metal from the thick regions of the first metal layer to the thin regions of the first metal layer.
[0009] Other embodiments provide a method of depositing a conformal metal layer on a substrate having a field region and openings with sidewalls and bottom portions in the field region, comprising disposing the substrate on a substrate support in a process chamber, exposing the substrate to a first physical vapor deposition process comprising directing metal ions toward a surface of the substrate using a first electrical bias less than about 100V, depositing a first metal layer on the substrate, wherein the first metal layer has thick regions at the top of the sidewalls and bottom portions of the openings and thin regions on the sidewalls of the openings, exposing the substrate to a second physical vapor deposition process comprising directing metal ions toward a surface of the substrate using a second electrical bias of at least 250V, depositing a second metal layer on the substrate, dislodging material from the first metal layer at the bottom portions of the openings by bombarding the first metal layer with metal ions, and relocating the dislodged material, and moving material from the thick regions at the top of the sidewalls to the thin regions on the sidewalls.
BRIEF DESCRIPTION OF THE DRAWINGS
[0010] So that the manner in which the above-recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0011] Figure 1 is a schematic cross-sectional view of a prior art substrate.
[0012] Figure 2A is a flow diagram summarizing a method according to one embodiment of the invention. [0013] Figures 2B-2E are schematic cross-sectional views of a substrate at various stages of the method of Figure 2A.
[0014] Figure 3A is a flow diagram summarizing a method according to another embodiment of the invention.
[0015] Figures 3B-3G are schematic cross-sectional views of a substrate at various stages of the method of Figure 3A.
[0016] Figure 4 is a cross-sectional view of an apparatus according to another embodiment of the invention.
[0017] Figure 5 is a detail view of a portion of the apparatus of Figure 4.
[0018] Figure 6 is a plan view of one embodiment of a ring collimator.
[0019] Figure 7 is a partial plan view of one embodiment of a honeycomb collimator.
[0020] Figure 8A is a cross-sectional view of one embodiment of a substrate support.
[0021] Figure 8B is a cross-sectional view of another embodiment of a substrate support.
[0022] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one embodiment may be beneficially utilized on other embodiments without specific recitation.
DETAILED DESCRIPTION
[0023] Embodiments of the invention generally provide methods and apparatus for processing semiconductor substrates. The methods and apparatus described herein may be adapted to perform deposition processes, such as metal deposition processes or physical vapor deposition processes, on substrates. In general the term "substrates" as used herein can be formed from any material that has some natural electrical conducting ability or a material that can be modified to provide the ability to conduct electricity. Typical substrate materials include, but are not limited to, semiconductors, such as silicon (Si) and germanium (Ge), as well as other compounds that exhibit semiconducting properties. Such semiconductor compounds generally include group MI-V and group M-VI compounds. Representative group MI-V semiconductor compounds include, but are not limited to, gallium arsenide (GaAs), gallium phosphide (GaP), and gallium nitride (GaN). The term semiconductor substrates generally includes bulk semiconductor substrates as well as substrates having deposited layers disposed thereon. To this end, the deposited layers in some semiconductor substrates processed by the methods of the present invention are formed by either homoepitaxial {e.g., silicon on silicon) or heteroepitaxial {e.g., GaAs on silicon) growth. For example, the methods of the present invention may be used with gallium arsenide and gallium nitride substrates formed by heteroepitaxial methods. Similarly, the invented methods can also be applied to form integrated devices, such as thin-film transistors (TFTs), on relatively thin crystalline silicon layers formed on insulating substrates {e.g., silicon-on- insulator [SOI] substrates).
[0024] A variety of metals may be deposited using the methods and apparatus described herein. Although the methods described herein are particularly useful for depositing copper, other metals such as aluminum, cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations or alloys thereof may also be deposited using these methods.
[0025] Figure 2A is a flow diagram summarizing a method 200 according to one embodiment of the invention. A substrate is disposed in a process chamber at 210. Figure 2B is a schematic cross-sectional diagram of a substrate that may be processed according to methods described herein. The substrate of Figure 2B has an underlying layer 250, and a patterned layer 270 thereon. The underlying layer 250 may be conductive or semiconductive, and the patterned layer 270 will generally be a dielectric material. The patterned layer 270 generally has a field region 252, and trenches or vias having side walls 254 and bottom portions 256. The openings in the patterned layer will generally exhibit an aspect ratio greater than about 1 :1 , such as greater than about 4:1 , for example greater than about 10:1.
[0026] In general, the process chamber to be used for the method 200 will be configured to deposit a material on the substrate by bombarding the substrate with ions. Such an ion deposition chamber may be a physical vapor deposition (PVD) chamber in some embodiments. An exemplary chamber is described below in connection with Figure 4.
[0027] At 220, a first metal layer is deposited over the substrate using a first PVD process. The first PVD process comprises providing a target having material to be deposited and generating an ion plasma proximate the target. The ions are propelled toward the target by an electromagnetic field established near the target, and upon impact eject material from the target. The ejected species may be neutral or electrically charged, and may change state thereafter by interaction with other particles in the plasma. The target may contain any material desirous of being deposited on the substrate. In one embodiment, the target is copper. In other embodiments, the target may be other metals, such as aluminum, cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof.
[0028] An electrical bias is applied to the target or the substrate to ionize the gases therebetween and to propel the ions toward the target. The bias may be DC or RF powered, and is generally between about 10V and about 2,400V, applied at a power level between about 50 Watts and about 1 ,000 Watts. In some embodiments, the bias voltage is between about 20V and about 100V, such as between about 30V and about 70V, for example about 50V, and the bias power is between about 100 Watts and about 200 Watts, such as about 120 Watts. In some embodiments, the bias is powered by RF power, which may be modified by a low or high-pass filter. The bias may be positive or negative and may be applied to the target or the substrate.
[0029] The substrate is generally held at a temperature selected to encourage accumulation of sputtered material on the substrate. In some embodiments, the substrate temperature is controlled between about 0°C and about 600°C, for example about 75°C. In other embodiments, the substrate temperature may be higher than 5°C, such as between about 5°C and about 600°C, or between about 200C and about 300°C, such as about 50°C. The chamber is typically maintained under vacuum. The chamber pressure may be less than about 10 Torr, such as less than about 1 Torr, or less than 100 mTorr, such as about 1 mTorr.
[0030] In some embodiments, it may be useful to increase alignment of particles depositing on the substrate. This may be done by interposing a physical alignment device, such as a collimator, through which the particles must travel to reach the substrate. Particles having trajectories that are too oblique strike and deposit on the collimator rather than the substrate. By use of an alignment device, the particles can be controlled to an angle of incidence with the substrate. For example, particle trajectories may be controlled such that no particle has an angle of incidence with the plane defined by the substrate surface that is less than about 60°. In some embodiments, the control angle may be even higher, such as about 70° or about 80°. As the control angle grows, however, particle flux and deposition rate decline because more particles are filtered out by the alignment device. Using a physical alignment device that controls angle of incidence above about 60°, for example, may result in a net reduction of mass flux between about 10% and about 50%, such as about 30%. Using such a device, a typical embodiment may achieve a mass flux of between about 5 μg/cm2-sec and about 100 μg/cm2-sec, such as between about 10 μg/cm2-sec and 50 μg/cm2-sec, for example about 30 μg/cm2-sec, depending also on the sputtering energy. In alternate embodiments, it may be advantageous to use electrostatic means to align the trajectories of ions being deposited by PVD. This avoids reducing mass flux and deposition rate.
[0031] A first metal layer is deposited on the substrate, as illustrated in Figure 2C. A first metal layer 258 is deposited over the underlying layer 250 and the patterned layer 270, covering the field region 252, the side walls 254, and the bottom portions 256. As described above, the first metal layer has an overhang region 260, where the first metal layer is thicker than in a side wall region 264. Particles have increasing difficulty penetrating the restrictive opening formed by the encroaching overhang regions, and increasingly deposit on the field region 252. Consequently, formation of the bottom portion 262 of the first metal layer 258 covering the bottom portion 256 of the trench slows.
[0032] In most embodiments, the first metal layer will have a generally curved surface that follows the contours of the underlying substrate. The overhang regions and bottom portions usually have the most curvature, corresponding to the smallest radius of curvature. In some embodiments, the first metal layer has a radius of curvature less than the width of the openings formed in the underlying substrate. In some embodiments, the radius of curvature may be less than about half the width of the openings. In other embodiments, the curvature of the surface may be abrupt near the top of the openings, forming one or more substantially angular features near the top of the openings in the underlying substrate. In such embodiments, the portion of the first metal layer covering the field region comprises a capping portion. The one or more substantially angular features will be thinnest immediately above the top corner of the opening where the side wall region meets the field region.
[0033] A second metal layer is deposited on the substrate at 230. The second metal layer may have the same or different composition from the first metal layer. In some embodiments, the bias energy is increased to support a deposition process that includes modification of the surface of the first metal layer 258. The bias energy may be increased to between about 500 Watts and about 5,000 Watts, such as between about 800 Watts and about 3,000 Watts, for example about 1 ,000 Watts. The bias voltage may also be increased to between about 100V and about 2,500V, such as between about 200V and about 1 ,000V, for example about 350V. In some embodiments, the second deposition process comprises applying an RF bias and a DC bias to the target or the substrate. The RF and DC bias may each be applied at any of the power levels described above for the second deposition process.
[0034] The higher bias energy of the second deposition process imparts more energy to the substrate and the deposited metal layer disposed thereon. This energy results in surface modification of the deposited metal layer through brittle and plastic processes. Metal deposited in the first metal layer may be subjected to a surface modification process until the second metal layer develops, at which point the second metal layer may be subjected to the surface modification process. In a brittle surface modification process, ions accelerated by the increased bias impact the surface of the deposited metal layer and eject material therefrom. The ejected material re-deposits elsewhere on the surface of the deposited metal layer. In a plastic surface modification process, atoms from the deposited metal layer are pushed along the surface of the deposited metal layer from one location to another without leaving the surface.
[0035] Figure 2D schematically illustrates the substrate undergoing the second deposition process described above. Ions 266 bombard the surface of the deposited metal layer 258. The ions 266 have directional trajectories oriented toward the substrate surface due to use of a physical alignment device, such as a collimator, or electrostatic alignment means, and therefore travel into the openings formed in patterned layer 270. Some of the ions impact the bottom portion 262 of the deposited metal layer, some impact the side wall portion 264, and some impact the overhang portion 260. Due to the energy of the impacts, some material is ejected from the deposited metal layer 258, for example from the bottom portion 262 of the deposited metal layer, and re-deposits on the deposited metal layer, for example on the side wall portion 264. Some impacts also push material along the surface of the deposited metal layer, for example from the overhang portion 260 to the side wall portion 264.
[0036] These surface modification processes are applied at 240 to equalize the thickness of the metal layer on the surface. In embodiments featuring the substantially angular feature or profile described above, deposition of metal ions during the second deposition process increases the thickness of the deposited metal layer near the top comer of the opening. The surface modification process moves deposited metal from thicker portions of the layer to thinner portions. Figure 2E illustrates the substrate having undergone the surface modification processes 240. The deposited metal layer 258 has a substantially conformal profile resulting from the interacting deposition and surface modification processes 230 and 240.
[0037] Figure 3A is a flow diagram summarizing a method 300 according to another embodiment of the invention. At 302, a substrate to be processed is disposed on a substrate support in a processing chamber. An exemplary substrate is illustrated in Figure 3B. The substrate has an underlying layer 350 and a patterned layer 380. The patterned layer has a field region 352 with openings that have side walls 354 and bottom portions 356. In some embodiments, the bottom portions 356 may expose portions of the underlying layer 350. In many embodiments, the underlying layer 350 may be conductive or semiconductive, while the patterned layer 380 is insulating or dielectric. Thus, the openings may expose the conductive or semiconductive material of the underlying layer 350.
[0038] At 304, the substrate is bombarded with metal ions having a first energy in a first PVD process. Figure 3C illustrates the substrate of Figure 3B undergoing the process of 304. The metal ions 358 are directed toward the substrate by use of physical or electrostatic alignment means, as described above, and impact the substrate surface. Due to the high directionality of the metal ion trajectories, most impacts happen on the field region 352, the upper part of the side walls 354, and the bottom portions 356 of the openings. At 306 a first metal layer is deposited on the substrate. Figure 3D illustrates the first metal layer 360 deposited on the substrate covering the field region 352, side walls 354, and bottom portions 356 of the openings. An overhang portion 362 of the first metal layer 360 forms due to preferential deposition on the field region 352 and upper parts of the side walls 354. The overhang portions 362 narrow the openings, reducing ion flux into the openings. Due to the directionality of the ions, this reduced flux impacts deposition on the side walls 354 more than deposition on the bottom portions 356 of the openings, resulting in thick areas and thin areas of the deposited metal layer.
[0039] Similar to the embodiment described above in connection with figures 2A- 2E, the first metal layer 360 will generally have a curved surface or profile following the contours of the underlying substrate. The curvature of the surface will have similar characteristics to those of the embodiment of figures 2A-2E, including embodiments having a substantially angular feature near the tops of the openings.
[0040] At 308, the first metal layer is bombarded with metal ions having a second energy in a second PVD process. The second energy will preferably be selected to reduce a surface energy of the first metal layer to support plastic flow of metal atoms on the surface of the metal layer. In some embodiments, the second energy will reduce the binding energy of atoms at the surface of the metal layer. In other embodiments, the second energy will reduce the lattice energy of the surface. In most embodiments, the second energy will adapt a temperature of the first metal layer, and layers deposited during the second deposition process, to support plastic flow of metal atoms on the surface of the metal layer. In some embodiments, the temperature of the metal layer during the second deposition process will be above about 50°C, such as between about 50°C and about 2000C or between about 80°C and about 180°C, for example about 150°C. Thermal control may be employed to prevent the substrate from attaining a temperature at which metal begins to agglomerate. For example, a thermally controlled substrate support may be used to impart thermal flux to the substrate. Figure 3E illustrates the substrate undergoing the second deposition process of 308. Ions 368 bombard the metal layer 360 deposited on the substrate, depositing on the surface and imparting energy to achieve the desired temperature.
[0041] At 310, the ions impact the deposited metal layer, dislodging and relocating material from the deposited metal layer in a brittle surface modification process. A brittle surface modification process is characterized by physical separation of particles from the surface upon impact. Figure 3F is a detail view of a portion of the substrate undergoing the process of 310. Exemplary ion 368 has penetrated through the narrowed opening between overhang portions 362 of the deposited metal layer 360, and impacts the bottom portion 366 of the deposited metal layer 360. The energy of the impact is such that a particle of material 370 is ejected from the surface. The ejected particle 370 travels a trajectory 372 away from the bottom portion 366 of the deposited metal layer 360, and re-deposits on the side wall portion 364 of the deposited metal layer 360. Particles having an energy generally greater than about 100 eV may dislodge particles from the metal layer 360. In some embodiments, the incident particles have energy between about 100 eV and about 1 ,000 eV, such as between about 300 eV and about 700 eV, for example about 500 eV. The trajectory of the dislodged particle generally tends toward the side wall portion 364 of the metal layer 360 due to statistical exit angle of dislodged particles, increasing gas density higher in the opening, and electrostatic effects if the dislodged particle acquires a charge.
[0042] At 312, ions impact the deposited metal layer, pushing material from thick regions to thin regions along the surface in a plastic surface modification process. A plastic surface modification process is characterized by particles being dislodged from their location at the surface and moved to another location on the surface without being physically separated from the surface. The bonds holding the particles at the surface are stretched, and some are broken, but the particles are never completely unbonded from the surface. Figure 3G is a detail view of a portion of the substrate undergoing the process of 312. Exemplary ion 368 impacts a thick region of the metal layer 360, perhaps impinging the overhang portion 362. At high angles of incidence and low energies, ion 368 will merely deposit on the surface of metal layer 360, but if incidence angle is low and energy is high enough, the momentum of ion 368 will transfer to one or more particles such as particle 374 at the surface and dislodge them from their position. In a plastic surface modification process, the particle 374 is not ejected from the surface of the metal layer 360, but moves along the surface remaining in contact therewith, as illustrated by trajectory 376. Many such particles will be pushed from the thick region to the thin region near the side wall portion 364 of metal layer 360. Some particles will undergo movement only parallel to the surface, pushing through atoms at the surface, while some particles may undergo movement perpendicular to the surface as well. Particles undergoing perpendicular movement may vacate their position in the metal matrix and move to a position on top of surface atoms, possibly forming a new surface layer or nucleation site, and possibly sinking back into the surface layer at another location. Other particles may move beneath the surface, resulting in uplift of layers nearer the surface. The interaction of deposition in 308, brittle surface modification at 310, and plastic surface modification at 312 produces an equalizing of thickness of the metal layer 360, resulting in a substantially conformal metal layer formed over the substrate. In embodiments featuring one or more substantially angular features near the top of the openings where side wall regions meet field regions, thickness of the deposited metal layer will grow near the top corner of the opening as metal ions are deposited during the second deposition process. [0043] It should be noted that the methods 200 and 300 of figures 2A and 3A are described in the context of ions bombarding the substrate surface, but neutral particles may also be advantageously used. Also, it should be noted that the processes of depositing, dislodging by a brittle surface modification process, and moving by a plastic surface modification process may proceed concurrently, simultaneously, or independently. In some embodiments, the second deposition process will begin before either the brittle or plastic surface modification processes, and the brittle surface modification process will begin before the plastic surface modification process begins. In other embodiments, the two surface modification processes may begin at about the same time. In some embodiments, the three processes will proceed concurrently or simultaneously, but may begin at different times. The brittle surface modification process may begin before the second deposition process ends, and the plastic surface modification process may begin before the brittle surface modification process ends.
[0044] Figure 4 illustrates one embodiment of a PVD chamber 436. Examples of suitable PVD chambers are ALPS® plus and SIP ENCORE™ PVD processing chambers, both commercially available from Applied Materials, Inc., of Santa Clara, California.
[0045] Generally, the PVD chamber 436 contains a sputtering source, such as a target 442, and a substrate support 452 for receiving a semiconductor substrate 454 thereon and located within a grounded enclosure wall 450, which may be a chamber wall as shown or a grounded shield. The substrate support 452 is shown as a pedestal in the embodiment of Figure 4, but in other embodiments, other types of substrate supports, such as edge rings or pins, may be used.
[0046] The chamber 436 includes a target 442 supported on and sealed, as by O-rings (not shown), to a grounded conductive aluminum adapter 444 through a dielectric isolator 446. The target 442 comprises the material to be deposited on the substrate 454 surface during sputtering, and may include copper, aluminum, cobalt, titanium, tantalum, tungsten, molybdenum, platinum, nickel, iron, niobium, palladium, and combinations thereof, which are used in forming metal suicide layers or conductive features. The target 442 may also include a bonded composite of a metallic surface layer and a backing plate of a more workable metal.
[0047] The substrate support 452 supports the substrate 454 to be sputter coated in planar opposition to the principal face of the target 442. Substrate supports such as substrate support 452 have a planar substrate-receiving surface disposed generally parallel to the sputtering surface of the target 442. The substrate support 452 is vertically movable through a bellows 458 connected to a bottom chamber wall 460 to allow the substrate 454 to be transferred onto the substrate support 452 through a load lock valve (not shown) in the lower portion of the chamber 436 and thereafter raised to a deposition position. Processing gas is supplied from a gas source 462 through a mass flow controller 464 into the lower part of the chamber 436. Gases exit the chamber through conduit 468 with valve 466.
[0048] A controllable DC power source 478 coupled to the chamber 436 may be used to apply a negative voltage or bias to the target 442. An RF power supply 456 may be connected to the substrate support 452 in order to induce a negative DC self-bias on the substrate 454, but in other applications the substrate support 452 may be grounded or left electrically floating.
[0049] A rotatable magnetron 470 is positioned in back of the target 442 and includes a plurality of horseshoe magnets 472 supported by a base plate 474 connected to a rotation shaft 476 coincident with the central axis of the chamber 436 and the substrate 454. The horseshoe magnets 472 are arranged in closed pattern typically having a kidney shape. The magnets 472 produce a magnetic field within the chamber 436, generally parallel and close to the front face of the target 442 to trap electrons and thereby increase the local plasma density, which in turn increases the sputtering rate. The magnets 472 produce an electromagnetic field around the top of the chamber 436, and magnets 472 are rotated to rotate the electromagnetic field which influences the plasma density of the process to more uniformly sputter the target 442.
[0050] The chamber 436 of the invention includes a grounded bottom shield 480 having, as is more clearly illustrated in the exploded cross-sectional view of Figure 5, an upper flange 482 supported on and electrically connected to a ledge 484 of the adapter 444. A dark space shield 486 is supported on the flange 482 of the bottom shield 480, and fasteners (not shown), such as screws recessed in the upper surface of the dark space shield 486 fix it and the flange 482 to the adapter ledge 484 having tapped holes receiving the screws. This metallic threaded connection allows the two shields 480, 486 to be grounded to the adapter 444. The adapter 444 in turn is sealed and grounded to an aluminum chamber sidewall 450. Both shields 480, 486 are typically formed from hard, non-magnetic stainless steel.
[0051] The dark space shield 486 has an upper portion that closely fits an annular side recess of the target 442 with a narrow gap 488 between the dark space shield 486 and the target 442 which is sufficiently narrow to prevent the plasma from penetrating, hence protecting the dielectric isolator 446 from being sputter coated with a metal layer, which would electrically short the target 442. The dark space shield 486 also includes a downwardly projecting tip 490, which prevents the interface between the bottom shield 480 and dark space shield 486 from becoming bonded by sputter deposited metal.
[0052] Returning to the overall view of Figure 4, the bottom shield 480 extends downwardly in an upper generally tubular portion 494 of a first diameter and a lower generally tubular portion 496 of a smaller second diameter to extend generally along the walls of the adapter 444 and the chamber wall 450 to below the top surface of the substrate support 452. It also has a bowl-shaped bottom including a radially extending bottom portion 498 and an upwardly extending inner portion 400 just outside of the substrate support 452. A cover ring 402 rests on the top of the upwardly extending inner portion 400 of the bottom shield 480 when the substrate support 452 is in its lower, loading position, but rests on the outer periphery of the substrate support 452 when it is in its upper, deposition position to protect the substrate support 452 from sputter deposition. An additional deposition ring (not shown) may be used to shield the periphery of the substrate 454 from deposition.
[0053] The chamber 436 may also be adapted to provide a more directional sputtering of material onto a substrate. In one aspect, directional sputtering may be achieved by positioning a collimator 410 between the target 442 and the substrate support 452 to provide a more uniform and symmetrical flux of deposition material on the substrate 454.
[0054] A metallic ring collimator 410, such as the Grounded Ring collimator, is illustrated in the embodiment of Figure 4. The ring collimator 410 rests on the ledge portion 406 of the bottom shield 480, thereby grounding the collimator 410. The ring collimator 410 includes an outer tubular section and at least one inner concentric tubular section, for example, three concentric tubular sections 412, 414, 416 linked by cross struts 418, 420 as shown in Figure 6. The outer tubular section 416 rests on the ledge portion 406 of the bottom shield 480. The use of the bottom shield 480 to support the collimator 410 simplifies the design and maintenance of the chamber 436. At least the two inner tubular sections 412, 414 are of sufficient height to define high aspect-ratio apertures that partially collimate the sputtered particles. Further, the upper surface of the collimator 410 acts as a ground plane in opposition to the biased target 442, particularly keeping plasma electrons away from the substrate 454.
[0055] Another type of collimator usable with the invention is a honeycomb collimator 724, partially illustrated in the plan view of Figure 7 having a mesh structure with hexagonal walls 726 separating hexagonal apertures 728 in a close- packed arrangement. An advantage of the honeycomb collimator 724 is, if desired, the thickness of the collimator 724 can be varied from the center to the periphery of the collimator 724, usually in a convex shape, so that the apertures 728 have aspect ratios that are likewise varying across the collimator 724. The collimator may have one or more convex sides. This allows the sputter flux density to be tailored across the substrate, permitting increased uniformity of deposition. Average sputter flux density of the collimator may also be affected by the average aspect ratio. In most embodiments, a honeycomb collimator such as collimator 724 will have aspect ratio between about 2:1 and about 5:1 , such as about 3:1.
[0056] One embodiment of a substrate support 452 is shown in Figure 8A. The substrate support 452 is suitable for use in a PVD process. Generally, the substrate support 452 includes a thermal control portion 810 disposed on a base 840 coupled to a shaft 845. [0057] The thermal control portion 810 generally includes one or more heating elements 850 disposed in a thermally conducting material 820 and a substrate receiving surface 875. The thermally conducting material 820 may be any material that has sufficient thermal conductance at operating temperatures for efficient heat transfer between the heating elements 850 and a substrate support surface 875. An example of the conducting material is steel. The substrate support surface 875 may include a dielectric material and typically includes a substantially planar receiving surface for a substrate 454 disposed thereon.
[0058] The heating elements 850 may be resistive heating elements, such as electrically conducting wires having leads embedded within the conducting material 820, and are provided to complete an electrical circuit by which electricity is passed through the conducting material 820. An example of a heating element 850 includes a discrete heating coil disposed in the thermally conducting material 820. Electrical wires connect an electrical source 896, such as a voltage source, to the ends of the electrically resistive heating coil to provide energy sufficient to heat the coil. The coil may take any shape that covers the area of the substrate support 452. More than one coil may be used to provide additional heating capability, if needed.
[0059] Fluid channels 890 may be coupled to a surface 826 of the thermal control portion 810 and may provide for either heating or cooling of the substrate support 452. The fluid channels 890 may include a concentric ring or series of rings (not shown), or other desired configuration, having fluid inlets and outlets for circulating a liquid from a remotely located fluid source 894. The fluid channels 890 are connected to the fluid source 894 by fluid passage 892 formed in the shaft 845 of substrate support 452. Embodiments of the substrate support 452, including both heating elements 850 coupled to an electrical source 896 and fluid channels 890 cooled by a thermal medium passing through fluid passage 892 connected to the fluid source 894, i.e., a liquid heat exchanger, generally achieve thermal control of the surface 875 of the substrate support 452.
[0060] Temperature sensors 860, such as a thermocouple, may be attached to or embedded in the substrate support 452, such as adjacent the thermal control portion
810, to monitor temperature in a conventional manner. For example, measured temperature may be used in a feedback loop to control electric current applied to the heating elements 850 from the electrical source 896, such that substrate temperature can be maintained or controlled at a desired temperature or within a desired temperature range. A control unit (not shown) may be used to receive a signal from temperature sensors 860 and control the heat electrical source 896 or a fluid source 894 in response.
[0061] The electrical source 896 and the fluid source 894 of the heating and cooling components are generally located external of the chamber 436. The utility passages, including the fluid passage 892, are disposed axially along the base 840 and shaft 845 of the substrate support 452. A protective, flexible sheath 895 is disposed around the shaft 845 and extends from the substrate support 452 to the chamber wall (not shown) to prevent contamination between the substrate support 452 and the inside of the chamber 436.
[0062] The substrate support 452 may further contain gas channels (not shown) fluidly connecting with the substrate receiving surface 875 of the thermal control portion 810 to a source of backside gas (not shown). The gas channels define a backside gas passage of a heat transfer gas or masking gas between the thermal control portion 810 and the substrate 454.
[0063] Figure 8B illustrates another embodiment of the substrate support 452 having an electrostatic chuck mounted to or forming the thermal control portion 810 of the substrate support 452. The thermal control portion 810 includes an electrode 830 and a substrate receiving surface 875 coated with a dielectric material 835. Electrically conducting wires (not shown) couple the electrodes 830 to a voltage source (not shown). A substrate 454 may be placed in contact with the dielectric material 835, and a direct current voltage is placed on the electrode 830 to create an electrostatic attractive force to grip the substrate.
[0064] Generally, the electrodes 830 are disposed in the thermally conducting material 820 in a spaced relationship with the heating elements 850 disposed therein. The heating elements 850 are generally disposed in a vertically spaced and parallel manner from the electrodes 830 in the thermally conducting material 820. Typically, the electrodes 830 are disposed between the heating elements 850 and the substrate receiving surface 875 though other configurations may be used.
[0065] Gases may be provided to the substrate receiving surface 875 of the substrate support 452 from gas sources 872. Such gases may assist in thermal control of the substrate by contacting the back side of the substrate. Gases may travel through a central conduit of the shaft 875 and exit through openings in the substrate receiving surface 875 and the dielectric coating 835, if present.
[0066] The embodiments of the substrate support 452 described above may be used to support a substrate in a high vacuum anneal chamber. The high vacuum anneal chamber may include substrate support pedestals 452 disposed in a PVD chamber, such as the chamber 436 described herein, with a blank target disposed therein or without a target and without bias coupled to either the target or substrate support pedestal.
[0067] Embodiments of the substrate support 452 are described above and are provided for illustrative purposes and should not be construed or interpreted as limiting the scope of the invention. For example, suitable electrostatic chucks that may be used for the support pedestal include MCA™ Electrostatic E-chuck or Pyrolytic Boron Nitride Electrostatic E-Chuck, both available from Applied Materials, Inc., of Santa Clara, California.
[0068] While the foregoing is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims

What is claimed is:
1. A method of processing a substrate having openings formed in a field region, comprising: depositing a metal layer on the substrate to form a deposited metal layer; subjecting the deposited metal layer to a brittle surface modification process; and subjecting the deposited metal layer to a plastic surface modification process.
2. The method of claim 1 , wherein the brittle surface modification process comprises bombarding the deposited metal layer with metal ions, such that previously deposited material is ejected from overhang portions at the tops of the openings and is redeposited at other locations on the substrate.
3. The method of claim 1 , wherein the plastic surface modification process comprises pushing material from the deposited metal layer along the surface of the deposited metal layer from overhang portions at the tops of the openings to other locations.
4. A method of depositing a conformal metal layer in openings formed in a field region of a substrate, comprising:
disposing the substrate on a substrate support in a processing chamber;
depositing a first metal layer having thick regions and thin regions on the substrate in a physical vapor deposition process;
simultaneously depositing a second metal layer over the first metal layer in a physical vapor deposition process, ejecting material from the first metal layer and redepositing the ejected material with the second metal layer, and pushing metal from the thick regions of the first metal layer to the thin regions of the first metal layer.
5. The method of claim 4, wherein the substrate is exposed to an electrical bias at a first energy level during deposition of the first metal layer and a second energy level during deposition of the second metal layer, and the second energy level is at least three times higher than the first energy level.
6. The method of claim 4, wherein the substrate is exposed to an electrical bias at a first energy level between about 50 Watts and 150 Watts during deposition of the first metal layer and a second energy level between about 800 Watts and about 1 ,200 Watts during deposition of the second metal layer.
7. The method of claim 4, wherein depositing the first metal layer and depositing the second metal layer each comprises using a collimator to direct charged particles toward the substrate at an angle of incidence of at least 60° with respect to the field region of the substrate.
8. The method of claim 7, wherein pushing metal from the thick regions of the first metal layer to the thin regions of the first metal layer comprises reducing a surface energy of the first metal layer by at least about 50% and applying a shear force to the first metal layer.
9. The method of claim 8, wherein the substrate is exposed to an electrical bias at a first energy level during deposition of the first metal layer and a second energy level during deposition of the second metal layer, and the second energy level is at least three times higher than the first energy level.
10. The method of claim 9, wherein depositing the first metal layer and depositing the second metal layer each comprises directing charged particles toward the substrate at an angle of incidence of at least 60° with respect to the field region of the substrate.
11. A method of depositing a conformal metal layer on a substrate having a field region and openings with sidewalls and bottom portions in the field region, comprising:
disposing the substrate on a substrate support in a process chamber; depositing a first metal layer on the substrate by exposing the substrate to a first physical vapor deposition process comprising directing metal ions toward a surface of the substrate using a first electrical bias less than about 100V, wherein the first metal layer has thick regions at the top of the sidewalls and bottom portions of the openings and thin regions on the sidewalls of the openings; and
exposing the substrate to a second physical vapor deposition process comprising directing metal ions toward a surface of the substrate using a second electrical bias of at least 250V, wherein the second physical vapor deposition process comprises:
depositing a second metal layer on the substrate;
dislodging material from the first metal layer at the bottom portions of the openings by bombarding the first metal layer with metal ions, and relocating the dislodged material; and
moving material from the thick regions at the top of the sidewalls to the thin regions on the sidewalls.
12. The method of claim 4 or 11 , wherein depositing the second metal layer on the substrate comprises reducing a surface energy of the first metal layer by at least about 50%.
13. The method of claim 11 , further comprising reducing surface energy of the first metal layer by at least about 50%, wherein the first electrical bias is applied at a power level no more than 150 Watts and the second electrical bias is applied at a power level no less than about 600 Watts.
14. The method of claim 13, wherein a substrate temperature is controlled at less than about 2000C during the second physical vapor deposition process.
15. The method of claim 11 , wherein the dislodging begins before the depositing ends and the moving begins before the dislodging ends.
PCT/US2009/061184 2008-10-22 2009-10-19 Pvd cu seed overhang re-sputtering with enhanced cu ionization WO2010048094A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN200980142184.2A CN102197457B (en) 2008-10-22 2009-10-19 Carry out the copper seed crystal sputter again of overhanging with the copper ion PVD strengthening
KR1020177017595A KR101867531B1 (en) 2008-10-22 2009-10-19 Pvd cu seed overhang re-sputtering with enhanced cu ionization
JP2011533256A JP5701214B2 (en) 2008-10-22 2009-10-19 PVD copper seed overhang resputtering with enhanced copper ionization

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/256,428 US20100096253A1 (en) 2008-10-22 2008-10-22 Pvd cu seed overhang re-sputtering with enhanced cu ionization
US12/256,428 2008-10-22

Publications (2)

Publication Number Publication Date
WO2010048094A2 true WO2010048094A2 (en) 2010-04-29
WO2010048094A3 WO2010048094A3 (en) 2010-07-22

Family

ID=42107773

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2009/061184 WO2010048094A2 (en) 2008-10-22 2009-10-19 Pvd cu seed overhang re-sputtering with enhanced cu ionization

Country Status (5)

Country Link
US (1) US20100096253A1 (en)
JP (1) JP5701214B2 (en)
KR (2) KR20110089149A (en)
CN (1) CN102197457B (en)
WO (1) WO2010048094A2 (en)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5612830B2 (en) * 2009-05-18 2014-10-22 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US20110101534A1 (en) * 2009-11-04 2011-05-05 International Business Machines Corporation Automated short length wire shape strapping and methods of fabricting the same
CN102290370A (en) * 2010-06-21 2011-12-21 无锡华润上华半导体有限公司 Manufacturing method of conductive plug
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9076823B2 (en) 2013-09-11 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-layer metal deposition in silicide formation
US9831074B2 (en) 2013-10-24 2017-11-28 Applied Materials, Inc. Bipolar collimator utilized in a physical vapor deposition chamber
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
CN110073463B (en) * 2016-11-18 2022-05-24 应用材料公司 Collimator for use in physical vapor deposition chamber
CN107978558A (en) * 2017-11-23 2018-05-01 长江存储科技有限责任公司 The copper fill process of via hole
EP3899616A4 (en) 2018-12-17 2022-08-17 Applied Materials, Inc. Pvd directional deposition for encapsulation
US20210020484A1 (en) * 2019-07-15 2021-01-21 Applied Materials, Inc. Aperture design for uniformity control in selective physical vapor deposition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077779A (en) * 1998-05-22 2000-06-20 Taiwan Semiconductor Manufacturing Company Multi-step deposition to improve the conformality of ionized PVD films
US6730605B2 (en) * 2001-04-12 2004-05-04 Tokyo Electron Limited Redistribution of copper deposited films
US20040127014A1 (en) * 2002-12-30 2004-07-01 Cheng-Lin Huang Method of improving a barrier layer in a via or contact opening

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4756810A (en) * 1986-12-04 1988-07-12 Machine Technology, Inc. Deposition and planarizing methods and apparatus
DE69129081T2 (en) * 1990-01-29 1998-07-02 Varian Associates Device and method for precipitation by a collimator
US5478455A (en) * 1993-09-17 1995-12-26 Varian Associates, Inc. Method for controlling a collimated sputtering source
US5639357A (en) * 1994-05-12 1997-06-17 Applied Materials Synchronous modulation bias sputter method and apparatus for complete planarization of metal films
WO1997041598A1 (en) * 1996-04-26 1997-11-06 Sony Corporation Apparatus and method for improved deposition of conformal liner films and plugs in high aspect ratio contacts
WO1999027579A1 (en) * 1997-11-26 1999-06-03 Applied Materials, Inc. Damage-free sculptured coating deposition
US6100200A (en) * 1998-12-21 2000-08-08 Advanced Technology Materials, Inc. Sputtering process for the conformal deposition of a metallization or insulating layer
JP4021601B2 (en) * 1999-10-29 2007-12-12 株式会社東芝 Sputtering apparatus and film forming method
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6949176B2 (en) * 2001-02-28 2005-09-27 Lightwave Microsystems Corporation Microfluidic control using dielectric pumping
US6755945B2 (en) * 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
JP2005504885A (en) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド Barrier formation using a novel sputter deposition method
US7901545B2 (en) * 2004-03-26 2011-03-08 Tokyo Electron Limited Ionized physical vapor deposition (iPVD) process
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
US20080190760A1 (en) * 2007-02-08 2008-08-14 Applied Materials, Inc. Resputtered copper seed layer
JP2007197840A (en) * 2007-04-06 2007-08-09 Canon Anelva Corp Ionized sputtering apparatus

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6077779A (en) * 1998-05-22 2000-06-20 Taiwan Semiconductor Manufacturing Company Multi-step deposition to improve the conformality of ionized PVD films
US6730605B2 (en) * 2001-04-12 2004-05-04 Tokyo Electron Limited Redistribution of copper deposited films
US20040127014A1 (en) * 2002-12-30 2004-07-01 Cheng-Lin Huang Method of improving a barrier layer in a via or contact opening

Also Published As

Publication number Publication date
JP2012506638A (en) 2012-03-15
US20100096253A1 (en) 2010-04-22
KR20170076817A (en) 2017-07-04
JP5701214B2 (en) 2015-04-15
WO2010048094A3 (en) 2010-07-22
CN102197457A (en) 2011-09-21
KR20110089149A (en) 2011-08-04
KR101867531B1 (en) 2018-06-15
CN102197457B (en) 2016-05-18

Similar Documents

Publication Publication Date Title
US20100096253A1 (en) Pvd cu seed overhang re-sputtering with enhanced cu ionization
US11309169B2 (en) Biasable flux optimizer / collimator for PVD sputter chamber
Rossnagel Sputter deposition for semiconductor manufacturing
CN107002220B (en) Collimator for use in a substrate processing chamber
US20030015421A1 (en) Collimated sputtering of cobalt
JP2004526868A (en) Ionized PVD with sequential deposition and etching
JP2004526868A5 (en)
WO2003080887A2 (en) Methods and apparatus for annealing in physical vapor deposition systems
KR20010015227A (en) Methods and apparatus for ionized metal plasma copper deposition with enhanced in-film particle performance
TW201016875A (en) Confining magnets in sputtering chamber

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980142184.2

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09822508

Country of ref document: EP

Kind code of ref document: A2

WWE Wipo information: entry into national phase

Ref document number: 2011533256

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20117011732

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 09822508

Country of ref document: EP

Kind code of ref document: A2