WO2010048236A2 - Non-volatile memory having silicon nitride charge trap layer - Google Patents
Non-volatile memory having silicon nitride charge trap layer Download PDFInfo
- Publication number
- WO2010048236A2 WO2010048236A2 PCT/US2009/061390 US2009061390W WO2010048236A2 WO 2010048236 A2 WO2010048236 A2 WO 2010048236A2 US 2009061390 W US2009061390 W US 2009061390W WO 2010048236 A2 WO2010048236 A2 WO 2010048236A2
- Authority
- WO
- WIPO (PCT)
- Prior art keywords
- silicon nitride
- nitride layer
- silicon
- process gas
- nitrogen
- Prior art date
Links
- 229910052581 Si3N4 Inorganic materials 0.000 title claims abstract description 166
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 title claims abstract description 166
- 230000015654 memory Effects 0.000 title description 15
- 238000000034 method Methods 0.000 claims abstract description 200
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 103
- 229910052710 silicon Inorganic materials 0.000 claims abstract description 70
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims abstract description 69
- 239000010703 silicon Substances 0.000 claims abstract description 69
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 51
- 239000002019 doping agent Substances 0.000 claims abstract description 29
- 230000014759 maintenance of location Effects 0.000 claims abstract description 26
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims abstract description 23
- 239000001301 oxygen Substances 0.000 claims abstract description 23
- 229910052760 oxygen Inorganic materials 0.000 claims abstract description 23
- 229910052796 boron Inorganic materials 0.000 claims abstract description 21
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 claims abstract description 20
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 20
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 20
- 230000008569 process Effects 0.000 claims description 160
- 239000007789 gas Substances 0.000 claims description 154
- 239000000758 substrate Substances 0.000 claims description 92
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 77
- 238000000151 deposition Methods 0.000 claims description 46
- 239000000377 silicon dioxide Substances 0.000 claims description 38
- 235000012239 silicon dioxide Nutrition 0.000 claims description 37
- 239000003989 dielectric material Substances 0.000 claims description 35
- 238000010894 electron beam technology Methods 0.000 claims description 27
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 24
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 18
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 18
- 230000005855 radiation Effects 0.000 claims description 17
- 229910052739 hydrogen Inorganic materials 0.000 claims description 11
- 239000001257 hydrogen Substances 0.000 claims description 9
- 229910000069 nitrogen hydride Inorganic materials 0.000 claims description 9
- 229910000077 silane Inorganic materials 0.000 claims description 9
- 229910021529 ammonia Inorganic materials 0.000 claims description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 5
- 238000010438 heat treatment Methods 0.000 claims description 4
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 claims description 2
- 229910052715 tantalum Inorganic materials 0.000 claims description 2
- 229910052719 titanium Inorganic materials 0.000 claims description 2
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 claims 1
- 239000010936 titanium Substances 0.000 claims 1
- 229960005419 nitrogen Drugs 0.000 description 42
- 239000000463 material Substances 0.000 description 28
- 230000008021 deposition Effects 0.000 description 22
- 238000005229 chemical vapour deposition Methods 0.000 description 14
- 238000012545 processing Methods 0.000 description 13
- 238000009832 plasma treatment Methods 0.000 description 12
- 239000010408 film Substances 0.000 description 11
- 238000005137 deposition process Methods 0.000 description 10
- 241000894007 species Species 0.000 description 9
- 239000003085 diluting agent Substances 0.000 description 7
- 230000005283 ground state Effects 0.000 description 7
- 239000000203 mixture Substances 0.000 description 7
- 230000007423 decrease Effects 0.000 description 6
- 230000005281 excited state Effects 0.000 description 6
- 230000006870 function Effects 0.000 description 5
- 229910052751 metal Inorganic materials 0.000 description 5
- 239000002184 metal Substances 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 230000008878 coupling Effects 0.000 description 4
- 238000010168 coupling process Methods 0.000 description 4
- 238000005859 coupling reaction Methods 0.000 description 4
- 238000010926 purge Methods 0.000 description 4
- DCERHCFNWRGHLK-UHFFFAOYSA-N C[Si](C)C Chemical compound C[Si](C)C DCERHCFNWRGHLK-UHFFFAOYSA-N 0.000 description 3
- 229910003946 H3Si Inorganic materials 0.000 description 3
- 229910007991 Si-N Inorganic materials 0.000 description 3
- 229910006294 Si—N Inorganic materials 0.000 description 3
- 238000000231 atomic layer deposition Methods 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 229910001873 dinitrogen Inorganic materials 0.000 description 3
- -1 for example Mo Chemical class 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 238000005381 potential energy Methods 0.000 description 3
- 125000003808 silyl group Chemical group [H][Si]([H])([H])[*] 0.000 description 3
- 230000005641 tunneling Effects 0.000 description 3
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 230000000903 blocking effect Effects 0.000 description 2
- 238000010504 bond cleavage reaction Methods 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 2
- 230000004927 fusion Effects 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 150000002736 metal compounds Chemical class 0.000 description 2
- VYIRVGYSUZPNLF-UHFFFAOYSA-N n-(tert-butylamino)silyl-2-methylpropan-2-amine Chemical compound CC(C)(C)N[SiH2]NC(C)(C)C VYIRVGYSUZPNLF-UHFFFAOYSA-N 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 230000007704 transition Effects 0.000 description 2
- GIRKRMUMWJFNRI-UHFFFAOYSA-N tris(dimethylamino)silicon Chemical compound CN(C)[Si](N(C)C)N(C)C GIRKRMUMWJFNRI-UHFFFAOYSA-N 0.000 description 2
- QGZKDVFQNNGYKY-UHFFFAOYSA-O Ammonium Chemical compound [NH4+] QGZKDVFQNNGYKY-UHFFFAOYSA-O 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- YZCKVEUIGOORGS-OUBTZVSYSA-N Deuterium Chemical compound [2H] YZCKVEUIGOORGS-OUBTZVSYSA-N 0.000 description 1
- 238000001157 Fourier transform infrared spectrum Methods 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910004542 HfN Inorganic materials 0.000 description 1
- 229910018245 LaO Inorganic materials 0.000 description 1
- 229910015421 Mo2N Inorganic materials 0.000 description 1
- 241000849798 Nita Species 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- 230000004913 activation Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 125000004429 atom Chemical group 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000006664 bond formation reaction Methods 0.000 description 1
- 239000005380 borophosphosilicate glass Substances 0.000 description 1
- 239000005388 borosilicate glass Substances 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000919 ceramic Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000011248 coating agent Substances 0.000 description 1
- 238000000576 coating method Methods 0.000 description 1
- 229910052681 coesite Inorganic materials 0.000 description 1
- 238000004590 computer program Methods 0.000 description 1
- 238000007796 conventional method Methods 0.000 description 1
- 229910052906 cristobalite Inorganic materials 0.000 description 1
- 239000013078 crystal Substances 0.000 description 1
- 230000007812 deficiency Effects 0.000 description 1
- 229910052805 deuterium Inorganic materials 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000009826 distribution Methods 0.000 description 1
- 230000000694 effects Effects 0.000 description 1
- 238000007786 electrostatic charging Methods 0.000 description 1
- 125000000219 ethylidene group Chemical group [H]C(=[*])C([H])([H])[H] 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- CJNBYAVZURUTKZ-UHFFFAOYSA-N hafnium(IV) oxide Inorganic materials O=[Hf]=O CJNBYAVZURUTKZ-UHFFFAOYSA-N 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000003780 insertion Methods 0.000 description 1
- 230000037431 insertion Effects 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- QSHDDOUJBYECFT-UHFFFAOYSA-N mercury Chemical compound [Hg] QSHDDOUJBYECFT-UHFFFAOYSA-N 0.000 description 1
- 229910052753 mercury Inorganic materials 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 229910052750 molybdenum Inorganic materials 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 125000004433 nitrogen atom Chemical group N* 0.000 description 1
- 229910052755 nonmetal Inorganic materials 0.000 description 1
- 230000003287 optical effect Effects 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 239000002243 precursor Substances 0.000 description 1
- 239000000376 reactant Substances 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000000717 retained effect Effects 0.000 description 1
- 229910052814 silicon oxide Inorganic materials 0.000 description 1
- 239000002210 silicon-based material Substances 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 229910052682 stishovite Inorganic materials 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229910052905 tridymite Inorganic materials 0.000 description 1
- 125000000026 trimethylsilyl group Chemical group [H]C([H])([H])[Si]([*])(C([H])([H])[H])C([H])([H])[H] 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/4234—Gate electrodes for transistors with charge trapping gate insulator
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/3115—Doping the insulating layers
- H01L21/31155—Doping the insulating layers by ion implantation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/401—Multistep manufacturing processes
- H01L29/4011—Multistep manufacturing processes for data storage electrodes
- H01L29/40117—Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/41—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
- H01L29/423—Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
- H01L29/42312—Gate electrodes for field effect devices
- H01L29/42316—Gate electrodes for field effect devices for field-effect transistors
- H01L29/4232—Gate electrodes for field effect devices for field-effect transistors with insulated gate
- H01L29/42324—Gate electrodes for transistors with a floating gate
- H01L29/42332—Gate electrodes for transistors with a floating gate with the floating gate formed by two or more non connected parts, e.g. multi-particles flating gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/788—Field effect transistors with field effect produced by an insulated gate with floating gate
- H01L29/7881—Programmable transistors with only two possible levels of programmation
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/792—Field effect transistors with field effect produced by an insulated gate with charge trapping gate insulator, e.g. MNOS-memory transistors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02172—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
- H01L21/02175—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
- H01L21/02178—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/022—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02214—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
- H01L21/02216—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/02227—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
- H01L21/0223—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
- H01L21/02233—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
- H01L21/02236—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
- H01L21/02238—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02321—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
- H01L21/0234—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02351—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
Definitions
- Non-volatile memory devices such as flash memory, are capable of retaining stored charge without power from an external power supply.
- a flash memory comprises an array of memory cells, each of which is configured to store one or more bits of information corresponding to a charge or gate threshold voltage. For example, new flash memory devices can store several bits per cell and utilize parameters that can exhibit more than two distinct levels. Flash memory devices are typically of two types depending on their structure for storing charge.
- a floating gate type flash memory stores charge in a floating gate electrode disposed under a control gate electrode. However, the conductive floating gate electrodes need to be electrically isolated for data retention, and the gate structures have a high aspect ratio that can be difficult to manufacture.
- a charge trap type flash memory can be manufactured with fewer and less complicated steps than floating gate memory devices.
- Charge trap memories use a gate insulating layer formed of a material such as silicon nitride, which provides charge trap sites.
- the net area available on a substrate to create an individual charge trap cell decreases. Accordingly, the number of electrons that may be loaded onto a charge trap layer also decreases. This decrease in charge trap cell capacity decreases the amount of information that may be stored by each unit cell.
- a flash memory device is fabricated by forming a silicon dioxide layer on a substrate.
- a doped silicon nitride layer is formed on the silicon dioxide layer, the doped silicon nitride layer comprising a dopant comprising carbon, boron or oxygen.
- a dielectric material is deposited on the doped silicon nitride layer and a conductive gate is deposited on the dielectric material.
- the flash memory device comprises a substrate comprising silicon, a silicon dioxide layer on the substrate, and a doped silicon nitride layer on the silicon dioxide layer.
- the doped silicon nitride layer comprises a dopant comprising carbon, boron or oxygen.
- a dielectric material is formed on the doped silicon nitride layer, and a conductive gate on the dielectric material
- a silicon dioxide layer is formed on a substrate, and a silicon nitride layer is formed on the silicon dioxide layer.
- the silicon nitride layer comprises a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness of the layer.
- a dielectric material is deposited on the silicon nitride layer and a conductive gate layer is deposited on the dielectric material.
- Another flash memory device comprises a substrate comprising silicon, a silicon dioxide layer on the substrate, and a silicon nitride layer on the silicon dioxide layer.
- the silicon nitride layer comprises a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness of the layer.
- a dielectric material is on the silicon nitride layer, and a conductive gate on the dielectric material.
- Another method comprises forming a silicon dioxide layer on a substrate and forming a silicon nitride layer on the silicon dioxide layer.
- the silicon nitride layer is exposed to ultraviolet radiation having a wavelength of from about 150nm to about 1200nm.
- a dielectric material is deposited on the silicon nitride layer, and a conductive gate is deposited on the dielectric material.
- a silicon dioxide layer is formed on a substrate and a silicon nitride layer is formed on the silicon dioxide layer.
- the silicon nitride layer is exposed to an electron beam.
- a dielectric material is deposited on the silicon nitride layer, and a conductive gate is deposited on the dielectric material.
- a silicon dioxide layer is formed on a substrate.
- a plasma- treated silicon nitride layer is formed on the silicon dioxide layer by: (1 ) placing the substrate in a process zone; (2) depositing a silicon nitride layer on the substrate by (i) introducing a first process gas into the process zone, the first process gas comprising a silicon-containing component and a nitrogen-containing component, and generating a plasma of the first process gas in the process zone to; and (3) forming a plasma-treated silicon nitride layer by (i) stopping or changing the flow of the first process gas to provide a second process gas into the process zone, the second process gas comprising an inert or non-reactive gas, and (ii) generating a plasma of the second process gas in the process zone to treat the deposited silicon nitride layer, depositing a dielectric material on the silicon nitride layer, and depositing a conductive gate on the dielectric material.
- FIG. 1 is a schematic cross-sectional view of a substrate showing a flash memory device with a treated silicon nitride feature
- FIG. 2 is a schematic view of an embodiment of a substrate processing chamber that is a PE-CVD deposition chamber
- FIG. 3 is a schematic view of an exposure chamber suitable for exposing a silicon nitride material to a suitable energy beam source;
- FIG. 4A is a model showing bond energy as a function of interatomic distance for ground and excited state bonds.
- FIG. 4B is a model showing the energy required to stretch the N-H bond of (H 3 Si)N- H, for both excited state and ground state bonds.
- a flash memory device 20 is fabricated on a substrate 22 comprising a semiconductor, such as a silicon wafer, compound semiconductor, or dielectric.
- a silicon wafer comprises single or large crystals of silicon, germanium, or silicon germanium.
- An exemplary compound semiconductor comprises gallium arsenide.
- a suitable dielectric comprises a glass panel or display, and can include, for example, borophosphosilicate glass, phosphosilicate glass, borosilicate glass, and phosphosilicate glass, among other materials.
- a silicon dioxide layer 24 is formed on the substrate 22 to serve as a tunneling oxide film. The tunneling oxide film can contact source/drains 32, 34 composed of impurity regions formed in the semiconductor substrate 22.
- the silicon dioxide layer 24 can be formed by suitable methods including CVD or PECVD using process gases that include a silicon- containing compound and oxygen-containing compound such as tetraethylorthosilane (TEOS), silane, oxygen, and other such gases.
- TEOS tetraethylorthosilane
- the silicon dioxide layer 24 can also be made by oxidizing a surface of a silicon wafer.
- the silicon dioxide layer 24 has a thickness of from about 1 to about 6 nm.
- a silicon nitride layer 26 is formed on the silicon dioxide layer 24.
- the silicon nitride layer 26 is used as a charge trapping layer for the flash memory device 20.
- the charge trapping or storing layer includes trap sites which store charge passing through the tunneling oxide film.
- the silicon nitride layer is treated to increase the charge retention capability of the layer as described herein.
- a dielectric material 28 other than silicon nitride is deposited on the silicon nitride layer 26.
- the dielectric material 28 serves as a charge blocking layer which reduces leakage of the electrons trapped in the charge trap layer to the gate electrode 30.
- the blocking layer can also prevent or resist passage of charge from the other direction, namely from the gate electrode 30 into the charge trapping layer.
- the dielectric material 28 can be a high-k dielectric such as, for example AI 2 O 3 , SiO 2 , HfO 2 , ZrO2, LaO, LaAIO, LaHfO, HfAIO, HfAION, HfSiO x , HfSiON, and combinations thereof.
- One suitable high-k dielectric material comprises aluminum oxide, which has a dielectric constant (k) value of about 9 -11.
- the dielectric material 28 can be deposited by physical vapor deposition (PVD), atomic layer deposition (ALD) or chemical vapor deposition (CVD).
- a gate electrode 30 is formed on the dielectric material 28.
- the gate electrode 30 is formed from a conductive material having an appropriate work function for the unit cell.
- the gate electrode 30 can be a metal gate which is made from an elemental metal, metal alloy, or metal compound, such as for example Mo, Ta, Ti, W, HfN, NiTa, Mo 2 N, TaN, TiN, WN, and WSi and combinations thereof.
- the gate electrode 30 may also be a metal compound comprising a metal combined with nitrogen or silicon.
- the gate electrode 30 can further be a non-metal conductor having an appropriate work function such as, for example, polycrystaline silicon. Conventional PVD, ALD and CVD methods can be used to deposit the gate electrode 30.
- the gate electrode 30, top dielectric layer 28, silicon nitride layer 26 and the silicon oxide layer 24 are patterned to form a stacked structure as shown in FIG. 1. Thereafter, a dopant is implanted into the surface of the semiconductor substrate 22 exposed on both sides of the stacked structure to form doped regions. The doped regions are thermally treated to form a source 32 and a drain 34, completing the unit cell of the flash memory device 20.
- the silicon nitride layer 26 serves as a charge-trap which traps and stores charge. Electrons that tunnel through the silicon dioxide layer 24 can be trapped in the silicon nitride layer 26. The threshold voltage varies depending on whether electrons are already trapped in the charge trap layer.
- charge retention properties can be obtained by treating the silicon nitride layer 26 by one of several different methods, and combinations of these methods. It has been determined that the charge trap concentration and distribution in the silicon nitride layer 26 depends on its composition and structure. Specifically, it is believed that the ratio of the concentration of silicon dangling bonds to nitrogen dangling bonds in the silicon nitride layer 26 affects the charge retention properties of the layer. It is further believed that charge retention time can be increased by increasing or maximizing the concentration of the silicon dangling bonds. Increasing the number of silicon dangling bonds in the silicon nitride layer 26 appears to increase the carrier trap concentration.
- silicon dangling bonds create very shallow traps in the energy bandgap.
- the shallow traps comprise potential energy levels that are not sufficiently deep.
- a silicon nitride layer 26 having a high concentration of silicon dangling bonds increases the potential of charge loss. Even small amounts of thermal energy can result in thermal de-trapping of the retained charge, and consequently, charge loss.
- the presently described methods of treating the silicon nitride layer 26 increase the concentration of dangling bonds at deeper energy levels in the band-gap to reduce the probability of thermal or other de-trapping of charge. Further, when charge is entrapped in specific sites corresponding to deep energy wells, charge retention is substantially increased as the charge tends to remain isolated within the energy well and does not easily migrate or diffuse out of the trap site with the application of external energy. Thus, the number of charge trap sites, as well as the depths of the potential energy wells, that are created in the silicon nitride layer 26 can significantly improve and increase charge retention.
- One method of increasing number of available charge trap sites having deeper energy wells comprises increasing the number of dangling nitrogen bonds within the silicon nitride layer 26.
- the dangling nitrogen bonds generally have a deeper potential well compared to the silicon dangling bonds.
- One way of increasing the number of dangling nitrogen bonds is to remove hydrogen atoms from the silicon nitride layer 26.
- adding dopant materials to the silicon nitride layer 26 can also result in redistribution of electrons within the network towards the dopant sites, which further increases the number of nitrogen dangling bonds.
- the charge trap retention time can be increased by controlling processing parameters during deposition of the silicon nitride layer 26.
- silicon nitride is deposited using a process gas comprising a silicon-containing component and a nitrogen-containing component, or a single component that contains both silicon and nitrogen.
- the silicon-containing component can be, for example, silane, disilane, trimethylsilyl (TMS), tris(dimethylamino)silane (TDMAS), bis(tertiary-butylamino)silane (BTBAS), dichlorosilane (DCS), and combinations thereof.
- TMS trimethylsilyl
- TDMAS tris(dimethylamino)silane
- BBAS bis(tertiary-butylamino)silane
- DCS dichlorosilane
- a suitable silane flow rate is from about 5 to about 200 seem.
- the nitrogen-containing component can be, for example, ammonia, nitrogen, and combinations thereof.
- a suitable flow rate for ammonia is from about 10 to about 600 seem.
- the electrode power level is typically maintained at from about 100 to about 400 Watts; electrode spacing is from about 5 mm (200 mils) to about 12 mm (600 mils); process gas pressure is from about 1 Torr to about 4 Torr; and substrate temperature is from about 300 to about 600 0 C.
- the process gas can also include a diluent gas that is provided in a larger volume that the reactive gas components to serve as a diluent, and at least partially as a reactant nitrogen-containing gas.
- nitrogen can be added in a flow rate of from about 5000 to about 30,000 seem.
- the process gas may also contain additional gases such as an oxygen- containing gas, for example, oxygen, when depositing silicon oxy-nitride materials.
- Still other gases that can be included can be inert gases, such as for example, helium or argon, in a flow rate of from about 100 to about 5,000 seem.
- the deposition conditions are changed during the deposition process to form a silicon nitride layer 26 having a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness of the layer.
- the adjustment in process conditions are selected to provide a silicon nitride layer comprising a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness by an amount sufficiently high to increase the charge retention time of the silicon nitride layer relative to the uniform silicon nitride layer.
- silicon nitride having a first ratio of silicon to nitrogen is deposited on the substrate.
- a process gas is introduced into the process zone, the process gas comprising a silicon-containing component to nitrogen-containing component as described herein.
- a plasma of the process gas in the process zone is generated by applying energy at a first power level to electrodes about the processes zone.
- silicon nitride having a second ratio of silicon to nitrogen is deposited by changing the energy applied to electrodes to a second power level.
- the first power level is a least about 200 W higher than the second power level.
- the first power level can comprise less than about 3000 Watts
- the second power level comprises at least about 10 Watts.
- silicon nitride having a first ratio of silicon to nitrogen is deposited on the substrate by maintaining a first pressure of a process gas into the process zone while generating a plasma of the process gas in the process zone. Thereafter, silicon nitride having a second ratio of silicon to nitrogen by maintaining a second pressure of the process gas in the process zone.
- the first pressure can be higher than the second pressure by least about 0.1 Torr. In one version, the first pressure is less than about 20 Torr, and the second pressure is at least about 1 Torr.
- silicon nitride having a first and second ratio of silicon to nitrogen is formed on the substrate by changing a composition the process gas introduced into the process zone.
- silicon nitride can be deposited using a process gas comprising a silicon-containing gas component comprising silane (SiH 4 ), a nitrogen-gas component comprising ammonia (NH 3 ), and a diluent gas component comprising nitrogen (N 2 ).
- a process gas comprising a silicon-containing gas component comprising silane (SiH 4 ), a nitrogen-gas component comprising ammonia (NH 3 ), and a diluent gas component comprising nitrogen (N 2 ).
- a first process gas comprising a first ratio of silicon-containing component to nitrogen- containing component is used, and a plasma of the process gas is generated in the process zone.
- a second process gas comprising a second ratio of silicon-containing component to nitrogen-containing component is used, and a plasma of the process gas is generated in the process zone.
- the first ratio of silicon-containing component to nitrogen- containing component is less than about 100:1
- the second first ratio of silicon-containing component to nitrogen-containing component power level is at least about 1.
- the ratio of silane to ammonia can range from about 1 :1 to about 1 :3.
- the flow rate of NH 3 can be maintained at 500 seem while the flow rate of SiH 4 was varied from 25 seem to 50 seem; and the process conditions are held constant with a flow rate of N 2 at 20,000 seem; a pressure of 6 Torr; a power level of 30 Watts; a temperature of 430 0 C, and process electrode spacing of 12 mm (480 mils).
- the flow rate of NH 3 can be varied from 50 seem to 500 seem with a constant flow rate of SiH 4 of 25 or 50 seem.
- the lower ratio of SiH 4 flow rate to NH 3 flow rate provides a nitrogen-rich film. Thus when the ratio of the flow rate of SiH 4 to NH 3 is 1 :10 the process will deposit a silicon nitride material having a Si/N ration of 0.6.
- the resultant flash memory device 20 comprises a silicon nitride layer 26 having a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness of the layer.
- the silicon nitride layer 26 can have a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness by an amount sufficiently high to increase the charge retention time of the silicon nitride layer relative to the un-doped silicon nitride layer.
- silicon nitride layer comprises a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness by at least about 1%.
- the silicon nitride layer can also have a compositional gradient in which the ratio of silicon to nitrogen varies through the thickness by from about 0.4 to about 1.5.
- the composition of the variant silicon nitride layer can also be doped with a dopant comprising carbon, boron or oxygen, as described below.
- FIG. 2 An embodiment of a substrate processing chamber 40 which can be used to perform the above described nitride deposition processes, is illustrated in FIG. 2.
- the chamber 40 is provided to illustrate an exemplary chamber however, other chambers may also be used, as would be apparent to one of ordinary skill in the art. Accordingly, the scope of the invention should not be limited to the exemplary chamber described herein.
- the chamber 40 is a plasma enhanced chemical vapor deposition (PE-CVD) chamber suitable for processing a substrate 22, such as a silicon wafer, a suitable chamber being a Producer® SE type chamber from Applied Materials, Santa Clara, California.
- the chamber 40 comprises enclosure walls 48, which include a ceiling 52, sidewalls 54, and a bottom wall 56, that enclose a process zone 42.
- the chamber 40 may also comprise a liner (not shown) that lines at least a portion of the enclosure walls 48 about the process zone 42.
- the chamber typically has a volume of about 20,000 to about 30,000 cm 3 , and more typically about 24,000 cm 3 .
- the substrate support 58 is lowered and a substrate 22 is passed through an inlet port 62 and placed on the support 58 by a substrate transport 64, such as a robot arm.
- the substrate support 58 can be moved between a lower position for loading and unloading, and an adjustable upper position for processing of the substrate 22.
- the substrate support 58 can include an enclosed electrode 44a to generate a plasma from process gas introduced into the chamber 40.
- the substrate support 58 can be heated by heater 68, which can be an electrically resistive heating element (as shown), a heating lamp (not shown), or the plasma itself.
- the substrate support 47 typically comprises a ceramic structure which has a receiving surface to receive the substrate 22, and which protects the electrode 44a and heater 68 from the chamber environment.
- a radio frequency (RF) voltage is applied to the electrode 44a and a direct current (DC) voltage is applied to the heater 68.
- the electrode 44a in the substrate support 58 can also be used to electrostatically clamp the substrate 22 to the support 58.
- the substrate support 58 may also comprise one or more rings (not shown) that at least partially surround a periphery of the substrate 22 on the support 58. [0040] After a substrate 22 is loaded onto the support 58, the support 58 is raised to a processing position that is closer to the gas distributor 72 to provide a desired spacing gap distance, d s , therebetween.
- the spacing distance can be from about 2 mm to about 12 mm.
- the gas distributor 72 is located above the process zone 42 for dispersing a process gas uniformly across the substrate 22.
- the gas distributor 72 can separately deliver two independent streams of first and second process gas to the process zone 42 without mixing the gas streams prior to their introduction into the process zone 42, or can premix the process gas before providing the premixed process gas to the process zone 42.
- the gas distributor 72 comprises a faceplate 74 having holes 76 that allow the passage of process gas therethrough.
- the faceplate 74 is typically made of metal to allow the application of a voltage or potential thereto, and thereby serve as electrode 44a in the chamber 40.
- a suitable faceplate 74 can be made of aluminum with an anodized coating.
- the substrate processing chamber 40 also comprises first and second gas supplies 80a, b to deliver the first and second process gas to the gas distributor 72, the gas supplies 80a, b each comprising a gas source 82a, b, one or more gas conduits 84a, b, and one or more gas valves 86a, b.
- the first gas supply 80a comprises a first gas conduit 84a and a first gas valve 86a to deliver a first process gas from the gas source 80a to a first inlet 78a of the gas distributor 72
- the second gas supply 80b comprises a second gas conduit 84b and a second gas valve 86b to deliver a second process gas from the second gas source 80b to a second inlet 78b of the gas distributor 72.
- the process gas can be energized by coupling electromagnetic energy, for example, high frequency voltage energy to the process gas to form a plasma from the process gas.
- electromagnetic energy for example, high frequency voltage energy
- a voltage is applied between (i) a first electrode 44a, which may be the gas distributor 72, ceiling 52 or chamber sidewall 54, and (ii) the electrode 44b in the support 58.
- the voltage applied across the pair of electrodes 44a, 44b capacitively couples energy to the process gas in the process zone 42.
- the voltage applied to the electrodes 44a, b is an alternating voltage which oscillates at a radio frequency.
- radio frequencies cover the range of from about 3kHz to about 300 GHz.
- low radio frequencies are those which are less than about 1 MHz, and more preferably from about 100 KHz to 1 MHz, such as for example a frequency of about 300 KHz.
- high radio frequencies are those from about 3MHz to about 60MHz, and more preferably about 13.56 MHz.
- the selected radio frequency voltage is applied to the first electrode 44a at a power level of from about 10 W to about 1000 W, and the second electrode 44b is typically grounded.
- the particular radio frequency range that is used, and the power level of the applied voltage depend on the type of material to be deposited.
- the chamber 40 also comprises a gas exhaust 90 to remove spent process gas and byproducts from the chamber 40 and maintain a predetermined pressure of process gas in the process zone 42.
- the gas exhaust 90 includes a pumping channel 92 that receives spent process gas from the process zone 42, an exhaust port 94, a throttle valve 96 and one or more exhaust pumps 98 to control the pressure of process gas in the chamber 40.
- the exhaust pumps 98 may include one or more of a turbo-molecular pump, cryogenic pump, roughing pump, and combination-function pumps that have more than one function.
- the chamber 40 may also comprise an inlet port or tube (not shown) through the bottom wall 56 of the chamber 40 to deliver a purging gas into the chamber 40.
- the purging gas typically flows upward from the inlet port past the substrate support 58 and to an annular pumping channel.
- the purging gas is used to protect surfaces of the substrate support 58 and other chamber components from undesired deposition during the processing.
- the purging gas may also be used to affect the flow of process gas in a desirable manner.
- a controller 102 is also provided to control the operation, and operating parameters, of the chamber 40.
- the controller 102 may comprise, for example, a processor and memory.
- the processor executes chamber control software, such as a computer program stored in the memory.
- the memory may be a hard disk drive, read-only memory, flash memory or other types of memory.
- the controller 102 may also comprise other components, such as a floppy disk drive and a card rack.
- the card rack may contain a single-board computer, analog and digital input/output boards, interface boards and stepper motor controller boards.
- the chamber control software includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, microwave power levels, high frequency power levels, support position, and other parameters of a particular process.
- the chamber 40 also comprises a power supply 104 to deliver power to various chamber components such as, for example, the first electrode 44a in the substrate support 58 and the second electrode 44b in the chamber. To deliver power to the chamber electrodes
- the power supply 104 comprises a radio frequency voltage source that provides a voltage having the selected radio frequencies and the desired selectable power levels.
- the power supply 104 can include a single radio frequency voltage source, or multiple voltage sources that provide both high and low radio frequencies.
- the power supply 104 can also include an RF matching circuit.
- the power supply 104 can further comprise an electrostatic charging source to provide an electrostatic charge to an electrode often electrostatic chuck in the substrate support 58.
- the power supply 104 also includes a heater power source that provides an appropriate controllable voltage to the heater 68.
- the power supply 104 When a DC bias is to be applied to the gas distributor 72 or the substrate support 58, the power supply 104 also includes a DC bias voltage source that is connected to a conducting metal portion of the faceplate 74 of the gas distributor 72. The power supply 104 can also include the source of power for other chamber components, for example, motors and robots of the chamber.
- the substrate processing chamber 40 also comprises a temperature sensor (not shown) such as a thermocouple or an interferometer to detect the temperature of surfaces, such as component surfaces or substrate surfaces, within the chamber 40. The temperature sensor is capable of relaying its data to the chamber controller 102 which can then use the temperature data to control the temperature of the processing chamber 40, for example, by controlling the resistive heating element in the substrate support 58.
- the silicon nitride layer 26 is doped with a material that creates better charge trap sites.
- a suitable material comprises, for example, carbon, boron, oxygen, or mixtures thereof.
- a doped silicon nitride layer is formed on the silicon dioxide layer 24.
- the doped silicon nitride layer comprises a dopant level of any one of carbon, boron or oxygen.
- the dopant is provided in a percentage sufficiently high to increase the charge retention time of the silicon nitride layer 26 relative to the un-doped silicon nitride layer.
- the dopant level is such that the percentage of dopant in the silicon nitride, is sufficiently high to increase the charge retention time of the silicon nitride layer 26 relative to the un-doped silicon nitride layer by at least about 5%.
- suitable level of dopant comprises a percentage of from about 1% to about 50%, relative to the silicon nitride material.
- the dopant is introduced in the film during deposition by adding a dopant-containing precursor to the deposition chemistry. For example to achieve 5%B doping, I OOsccm B2H6 is added to a plasma of SiH4 (40sccm) and NH3 (l OOOsccm)
- a silicon nitride layer 26 is deposited using conventional methods.
- the silicon nitride layer 26 can be deposited using a process gas comprising SiH 4 , NH 3 , and N 2 , as explained above.
- the layer is exposed to a dopant process gas comprising at least one of carbon-containing species, boron-containing species or oxygen-containing species.
- the dopant process gas is energized to form a plasma to dope the deposited silicon nitride layer 26 with a dopant comprising carbon, boron or oxygen.
- a dopant comprising carbon, boron or oxygen.
- Suitable examples of the dopant process gas include carbon-containing species such as TMS, C 2 H 4 , boron-containing species such as Diborane, and/or oxygen-containing species such as N 2 O, or mixtures thereof.
- the dopant process gas is energized using a plasma powered by electrodes maintained at distance between 100 and 120OmNs .
- a silicon nitride layer 26 is deposited and then exposed to a process gas comprising at least one of carbon, boron or oxygen, while the substrate is heated to dope the deposited silicon nitride layer 26 with a dopant comprising carbon, boron or oxygen.
- a dopant process gas include carbon-containing species such as CH 4 , TMS, , boron-containing species such as B 2 H 6 , and/or oxygen-containing species such as N 2 O, or mixtures thereof.
- the substrate is heated to a temperature of at least about 50 0 C, or even from about 300 0 C to about 550°C.
- the chamber described above, and shown in FIG. 2, can also be used to process the silicon nitride layer 26 to form a doped silicon nitride layer as described.
- the resultant flash memory device 20 comprises a substrate comprising silicon, a silicon dioxide layer 24 on the substrate, and a doped silicon nitride layer 26 on the silicon dioxide layer, the doped silicon nitride layer comprising a dopant comprising carbon, boron or oxygen.
- Ultraviolet Radiation Exposure In another method of forming the flash memory device, during or after deposition of a silicon nitride layer 26, the layer is exposed to an energy beam, such as ultraviolet radiation or an electron beam. It is believed that both ultraviolet radiation and electron beams increase the charge trap depth in the silicon nitride layer by at least 5%. Exposure of the deposited silicon nitride material to ultraviolet radiation or electron beams results in reduction of the hydrogen content of the deposited material by disrupting unwanted hydrogen bonds, such as the Si-H and N-H bond which absorb broadband UV radiation. The remaining silicon atom bonds with available nitrogen atoms form Si-N bonds.
- an energy beam such as ultraviolet radiation or an electron beam. It is believed that both ultraviolet radiation and electron beams increase the charge trap depth in the silicon nitride layer by at least 5%. Exposure of the deposited silicon nitride material to ultraviolet radiation or electron beams results in reduction of the hydrogen content of the deposited material by disrupting unwanted hydrogen bonds, such as the Si-H and N-H bond which
- the ultraviolet or electron energy beam exposure can be performed within the deposition chamber 40 itself or in a separate chamber. For example, during, or immediately after, deposition of a silicon nitride layer 26 on the substrate 22, the silicon nitride layer 26 can be exposed to ultraviolet or electron beam radiation inside the deposition chamber 40. The ultraviolet or electron beams can be applied in-situ in the CVD chamber.
- FIG. 4A A model showing bond energy as a function of interatomic distance for an R-H bond, where R is a base atom such as, for example N in the case of (H 3 Si)N-H, is shown in FIG. 4A.
- the bond energy plot is shown for a ground state bond and for an excited bond.
- the energy required to stretch the excited bond by an initial distance is substantially smaller than the energy required to stretch the ground state bond by the same initial distance, as shown by vertical arrows.
- FIG. 4B A model showing the energy required to stretch the N-H bond of (H 3 Si)N-H, for both excited state N-H bond and ground state N-H bond is shown in FIG. 4B. This further illustrates that bond stretch of an excited state bond requires less energy than bond stretch of a ground state bond. Accordingly, it is believed that bond cleavage and dangling bond formation is the mechanism that increases the depth of the charge traps that are formed in the silicon nitride layer 26.
- FIG. 3 shows an exemplary embodiment of an exposure chamber 106 which can be used to expose a substrate 22 to ultraviolet radiation or electron beam treatment.
- the chamber 106 includes a substrate support 58 moveable between a released position distal from the exposure source 108, and a lifted position proximate to the source 108 to allow adjustment of the spacing therebetween.
- a substrate support 58 supports the substrate 22 in the chamber 106.
- the substrate support 58 can be moved to a loading position, and thereafter, during exposure of the substrate 22 having the deposited silicon nitride material to ultraviolet radiation or electron beams, the support 58 is raised into the lifted position to maximize exposure levels.
- the chamber 106 further comprises a heater 110, such as a resistive element, which can be used to heat the substrate 22 to a desired temperature during exposure of the substrate 22.
- the exposure chamber 106 further includes an exposure source 108 that provides a suitable energy beam, such as ultraviolet radiation or electron beams.
- a suitable ultraviolet radiation source can emit a single ultraviolet wavelength or a broadband of ultraviolet wavelengths.
- a suitable single wavelength ultraviolet source comprises an excimer ultraviolet source that provides a single ultraviolet wavelength of 172 nm or 222 nm.
- a suitable broadband source generates ultraviolet radiation having wavelengths of from about 200 to about 400 nm. Such ultraviolet sources can be obtained from Fusion Company, USA or
- the silicon nitride material may be exposed to ultraviolet radiation having other wavelengths that are generated by lamps that contain gas that radiates at specific wavelengths when electrically stimulated.
- suitable ultraviolet lamp may comprise Xe gas, which generates ultraviolet radiation having a wavelength of 172 nm.
- the lamp may comprise other gases having different corresponding wavelengths, for example, mercury lamps radiate at a wavelength of 243 nm, deuterium radiates at a wavelength of 140 nm, and KrCI 2 radiates at a wavelength of 222 nm.
- mercury lamps radiate at a wavelength of 243 nm
- deuterium radiates at a wavelength of 140 nm
- KrCI 2 radiates at a wavelength of 222 nm.
- the wavelength content of the output from the radiation source can be selected to simultaneously expose all of the desired wavelengths, thus minimizing the necessary exposure time.
- a mecury lamp can be used to generate a broad band spectrum with highest intensity between 200 and 600nm.
- the CVD deposition chamber 40 and exposure chamber 106 may also be integrated together on a multi-chamber processing platform (not shown) served by a single robot arm.
- the components of the exposure chamber 106 and of the CVD deposition chamber 40 which can include exposure source 108, substrate support 58, motor, valves or flow controllers, gas delivery system, throttle valve, high frequency power supply, and heater, and the robot arm of the integrated processing system, may all be controlled by a system controller over suitable control lines.
- the system controller relies on feedback from optical sensors to determine the position of movable mechanical assemblies such as the throttle valve 96 and substrate support 58 which are moved by appropriate motors under the control of the controller.
- a substrate having a silicon nitride layer 26 is inserted into the exposure chamber 106 and placed upon the substrate support 58 in the lowered position.
- the substrate support 58 is then raised to a lifted position, the optional heater 1 10 in the support powered on, and the exposure source 108 is activated.
- a gas may be circulated through the exposure chamber 106, such as helium, to improve thermal heat transfer rates between the substrate and the support. Other gases may also be used.
- the exposure source 108 is deactivated and the substrate support 58 is lowered back into the released position.
- the substrate bearing the exposed silicon nitride layer 26 is then removed from the exposure chamber 106.
- This process can alternately be performed in-situ in the process chamber 40 when the process chamber is equipped with necessary exposure source 108.
- Suitable deposition process conditions are described above.
- the ultraviolet treatment was performed at 400 0 C, with a total exposure time of 5 to 10 minutes.
- the silicon nitride layer 26 can be deposited with a process gas comprising 60 seem flow rate of silane; 900 seem flow rate of ammonia; 10,000 seem flow rate of nitrogen; and at 6 Torr process gas pressure; an electrode power level of 100 watt; and electrode spacing of 1 1 mm.
- the wavelength of the broadband ultraviolet radiation was from about 200 to about 1200nm.
- a Fusion H UV light source provides UV wavelengths of about 200 to 400 nm
- an Excimer UV source provides UV wavelengths of about 172 nm.
- the effect of the ultraviolet exposure could be enhanced by providing an optimal range of the diluent gas content to the process gas during the deposition process. This was done to reduce the number of nitrogen-hydrogen bonds in the deposited material, which are typically more difficult to remove by the ultraviolet treatment than silicon-hydrogen bonds.
- the silicon nitride layer 26, which was subsequently subjected to ultraviolet exposure was deposited at slightly different process conditions in which the diluent gas flow was reduced to the range of from about 5000 to about 15,000 seem and more preferably about 10,000 seem.
- the silane and ammonium volumetric flow ratios and flow rates were from about 1 :2 to about 1 :15, and more preferably about 1 :10.
- the silicon nitride material can also be treated by exposure to an electron beam in the exposure chamber 106.
- An exposure source 108 comprising a source of electron beams can comprise, for example, a line electron source that is scanned across the deposited material, or even a large area electron beam exposure system, such as that described in U.S. Patent No. 5,003,178 to Livesay, which is incorporated herein by reference in its entirety.
- the electron beam exposure is conducted with an electron beam which covers an area of from about 4 square inches to about 256 square inches.
- the electron beam exposure conditions include the total dosage applied, the electron beam energy applied to the deposited material, and the electron beam current density.
- the electron beam exposure is performed in a vacuum of from about 10 "5 to about 10 "2 Torr, and with a substrate temperature in the range of from about 100 0 C to about 400 0 C.
- the exposure energy may be in the range of from about 0.1 to about 100 keV, and the electron beam current is typically from about 1 to about 100 mA.
- the electron beam dose falls into the range of from about 1 to about 100,000 ⁇ C/cm 2 .
- the dose and energy selected will be proportional to the thickness of the deposited material to be processed.
- the electron beam exposure will be from about 0.5 minute to about 10 minutes.
- the electron beam treatment can be carried out at 4 KV, with a current of 6 mA, at a substrate temperature of 400°C, to provide a dosage of 200 to 1500.
- the deposition of the silicon nitride and electron beam treatment can also be conducted in a cluster platform tool having a CVD chamber, an electron beam irradiation chamber, and a robot for transferring the substrate from the CVD chamber to the e-beam chamber.
- the treatment in the CVD and e-beam chambers and the transferring operations are conducted in vacuum conditions.
- the silicon nitride layer 26 can also be plasma-treated to increase charge retention time.
- one or more layers of silicon nitride are deposited in a CVD process chamber, by introducing a first process gas into a process zone containing the substrate, the process gas comprising a silicon-containing component and a nitrogen- containing component.
- a plasma is generated from the first process gas, for example, by inductively or capacitively coupling power to the process gas.
- the energized process gas deposits a layer of silicon nitride on the substrate.
- the deposited layer is plasma treated by stopping or changing the flow of the first process gas to introduce a second process gas into the process zone.
- the second process gas comprises an inert or non-reactive gas (which may be a component of the first process gas or a different gas).
- the second process gas is energized to generate a plasma by inductively or capacitively coupling power to the process gas.
- the energized plasma treats the deposited silicon nitride layer to increase the charge retention time of the layer.
- the potential energy wells of the charge trap layer can be made deeper by treating the deposited silicon nitride film with a nitrogen plasma treatment cycle.
- the nitrogen plasma treatment can be performed by modifying the silicon nitride deposition process into two process cycles.
- the first cycle is used to deposit the silicon nitride layer on the substrate using a first process gas comprising a first component comprising silicon- containing gas and nitrogen-containing gas, and a second component comprising a diluent nitrogen gas, and forming a plasma.
- a first process gas comprising a first component comprising silicon- containing gas and nitrogen-containing gas
- a second component comprising a diluent nitrogen gas
- the flow of the first component of the process gas which includes the silicon-containing gas and the nitrogen-containing gas is turned off to provide a flow only of the second component comprising the diluent nitrogen gas.
- a high frequency voltage can be supplied to the electrodes 44a, b to form the plasma.
- the process cycles are repeated a number of times during deposition of the silicon nitride material.
- the nitrogen plasma cycles reduce the hydrogen content in the silicon nitride layer 26 and promote the formation of silicon-nitrogen bonds by removing silicon-hydrogen bonds.
- a nitrogen treatment cycle is performed after short deposition process cycles in which only a thin film of silicon nitride is deposited on the substrate.
- the thickness of the initial film is sufficiently thin to allow the nitrogen plasma treatment to penetrate substantially the entire thickness of the deposited material. If the nitrogen plasma treatment was performed after deposition of the entire thickness of the silicon nitride film 26, only a thin surface region of the deposited material would be properly treated.
- the plasma treatment process comprises a sufficient number of deposition cycles followed by plasma treatment cycles to achieve the desired film thickness.
- a deposition process comprising twenty (20) process cycles that each comprise a first deposition cycle and a second nitrogen plasma treatment cycle, can be used to deposit and plasma treat silicon nitride material to a thickness of 500 angstroms.
- Each deposition cycle was performed for about 2 to about 10 seconds and more typically about 5 seconds; and each nitrogen plasma treatment cycle was performed for about 10 to about 30 seconds, and more typically 20 seconds.
- deposition process parameters can be adjusted to improve the charge retention time of the deposited and plasma treated silicon nitride layer 26.
- deposition at higher temperatures is believed to improve the number of nitrogen dangling bonds at a substrate temperature of from about 400 to about 500 0 C.
- the plasma can be formed by applying a high radio frequency voltage to the electrode 44a and grounding the second electrode 44b, the high radio frequency being from about 3 MHz to about 60 MHz, such as for example, a frequency of 13.56 MHz.
- Activation of the CVD reaction by a plasma allows use of relatively lower substrate temperatures compared to thermally activated CVD processes.
- the high RF voltages should be applied at relatively low power levels of from about 20 to about 100 watts, and less than about 200 watts.
- the radio frequency voltage applied to the electrodes 44a, b of the chamber 40 can also be pulsed to generate a pulsed plasma.
- the pulsed plasma is believed to provide a more uniform thickness of the deposited material.
- the pulsed plasma is generated by applying voltage pulses of a radio frequency voltage to the electrodes bounding the process zone.
- the voltage pulses each have a duty cycle, which is the ratio of the pulse duration (T 1 ) to the pulse period (T 2 ).
- the pulse duration is the interval between (a) the time, during the first transition, that the pulse amplitude reaches a specified fraction (level) of its final amplitude, and (b) the time the pulse amplitude drops, on the last transition, to the same level.
- the interval between the 50% points of the final amplitude is used to determine or define pulse duration.
- the voltage pulses are rectangular pulses, but they can also have other shapes, such as for example, square or sinusoidal pulses.
- the pulsed RF power is provided at a power level of from about 100 to about 500 Watts.
- the selected power level is relatively high because it is believed that at the high-power levels, SiH 4 and NH 3 will dissociate more completely and thus reduce the overall hydrogen content of the deposited film.
- the duty cycle of the voltage pulses can also be selected to control the charge retention properties of the deposited silicon nitride layer 26. Different pulse types, radio frequency level, wattage, and the ratio T 2 ZT 1 can be selected to provide the desired properties.
- the duty cycle is less than about 60%.
- the duty cycle range is preferably from about 10% to about 50%, and more preferably from about 20%.
- the pulse frequency ranges from 10 to 1000 Hz.
- the duty cycle is 20% (e.g. 0.25) for a pulse train at 50Hz in which the pulse duration is 4ms (e.g. 1 ⁇ s) and the pulse period is 20ms (e.g. 4 ⁇ s).
- a high RF voltage having a frequency in the range of from about 3 MHz to about 60 MHz, was applied across the electrodes 44a, b at a power level of from about 100 to about 1000 Watts.
- a suitable process gas comprises silane, ammonia, nitrogen and optionally argon, in the flow ranges described herein.
- a flash memory device comprising a silicon nitride layer 26 deposited in the chamber 40 as described in the exemplary processes provided herein, has a higher charge retention time, which is believed to result from a higher concentration of nitrogen dangling bonds.
- exemplary embodiments of the present invention are shown and described, those of ordinary skill in the art may devise other embodiments which incorporate the present invention, and which are also within the scope of the present invention.
- the terms below, above, bottom, top, up, down, first and second and other relative or positional terms are shown with respect to the exemplary embodiments in the FIGS, and are interchangeable. Therefore, the appended claims should not be limited to the descriptions of the preferred versions, materials, or spatial arrangements described herein to illustrate the invention.
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Semiconductor Memories (AREA)
- Formation Of Insulating Films (AREA)
- Non-Volatile Memory (AREA)
Abstract
Description
Claims
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2011533281A JP2012506640A (en) | 2008-10-21 | 2009-10-21 | Nonvolatile memory with silicon nitride charge trapping layer |
CN2009801424516A CN102197483A (en) | 2008-10-21 | 2009-10-21 | Non-volatile memory having silicon nitride charge trap layer |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/255,617 | 2008-10-21 | ||
US12/255,617 US8252653B2 (en) | 2008-10-21 | 2008-10-21 | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
Publications (2)
Publication Number | Publication Date |
---|---|
WO2010048236A2 true WO2010048236A2 (en) | 2010-04-29 |
WO2010048236A3 WO2010048236A3 (en) | 2010-07-29 |
Family
ID=42107963
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
PCT/US2009/061390 WO2010048236A2 (en) | 2008-10-21 | 2009-10-21 | Non-volatile memory having silicon nitride charge trap layer |
Country Status (6)
Country | Link |
---|---|
US (3) | US8252653B2 (en) |
JP (1) | JP2012506640A (en) |
KR (1) | KR101553554B1 (en) |
CN (2) | CN103280446A (en) |
TW (1) | TW201025513A (en) |
WO (1) | WO2010048236A2 (en) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2012212721A (en) * | 2011-03-30 | 2012-11-01 | Tokyo Electron Ltd | Method for laminating silicon oxide film and silicon nitride film, and film forming apparatus and method for manufacturing semiconductor device |
Families Citing this family (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9449831B2 (en) | 2007-05-25 | 2016-09-20 | Cypress Semiconductor Corporation | Oxide-nitride-oxide stack having multiple oxynitride layers |
US20090179253A1 (en) | 2007-05-25 | 2009-07-16 | Cypress Semiconductor Corporation | Oxide-nitride-oxide stack having multiple oxynitride layers |
US8940645B2 (en) | 2007-05-25 | 2015-01-27 | Cypress Semiconductor Corporation | Radical oxidation process for fabricating a nonvolatile charge trap memory device |
US8633537B2 (en) | 2007-05-25 | 2014-01-21 | Cypress Semiconductor Corporation | Memory transistor with multiple charge storing layers and a high work function gate electrode |
US8643124B2 (en) | 2007-05-25 | 2014-02-04 | Cypress Semiconductor Corporation | Oxide-nitride-oxide stack having multiple oxynitride layers |
US8283265B2 (en) * | 2008-12-19 | 2012-10-09 | Varian Semiconductor Equipment Associates, Inc. | Method to enhance charge trapping |
US8298891B1 (en) * | 2009-08-14 | 2012-10-30 | Intermolecular, Inc. | Resistive-switching memory element |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9076646B2 (en) * | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9611544B2 (en) * | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
JP5666319B2 (en) * | 2011-01-12 | 2015-02-12 | 東京エレクトロン株式会社 | Temperature sensor, method for manufacturing temperature sensor, semiconductor device, and method for manufacturing semiconductor device |
TWI534897B (en) * | 2011-01-14 | 2016-05-21 | 賽普拉斯半導體公司 | Oxide-nitride-oxide stack having multiple oxynitride layers |
JP5839804B2 (en) * | 2011-01-25 | 2016-01-06 | 国立大学法人東北大学 | Semiconductor device manufacturing method and semiconductor device |
CN103329259B (en) | 2011-01-26 | 2015-05-27 | 应用材料公司 | Plasma treatment of silicon nitride and silicon oxynitride |
CN102412253A (en) * | 2011-11-30 | 2012-04-11 | 上海华力微电子有限公司 | SOI (signal operation instruction) silicon wafer used for floating body effect memory device and manufacturing method thereof as well as memory device |
US8853099B2 (en) | 2011-12-16 | 2014-10-07 | Intermolecular, Inc. | Nonvolatile resistive memory element with a metal nitride containing switching layer |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
KR102207992B1 (en) | 2012-10-23 | 2021-01-26 | 램 리써치 코포레이션 | Sub-saturated atomic layer deposition and conformal film deposition |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
US20140209995A1 (en) * | 2013-01-29 | 2014-07-31 | Cheong Min Hong | Non-Volatile Memory Cells Having Carbon Impurities and Related Manufacturing Methods |
JP6562629B2 (en) * | 2013-12-30 | 2019-08-21 | ラム リサーチ コーポレーションLam Research Corporation | Plasma atomic layer deposition with pulsed plasma exposure |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
WO2015151110A2 (en) * | 2014-04-02 | 2015-10-08 | Yissum Research Development Company Of The Hebrew University Of Jerusalem Ltd. | Semiconductor waveguide structure |
US9297073B2 (en) * | 2014-04-17 | 2016-03-29 | Applied Materials, Inc. | Accurate film thickness control in gap-fill technology |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10825681B2 (en) * | 2016-08-13 | 2020-11-03 | Applied Materials, Inc. | 3D CTF integration using hybrid charge trap layer of sin and self aligned SiGe nanodot |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10115808B2 (en) | 2016-11-29 | 2018-10-30 | Taiwan Semiconductor Manufacturing Company, Ltd. | finFET device and methods of forming |
TWI621215B (en) * | 2016-12-27 | 2018-04-11 | National Taiwan Normal University | Flash memory structure and method of manufacturing the same |
JP6529956B2 (en) * | 2016-12-28 | 2019-06-12 | 株式会社Kokusai Electric | Semiconductor device manufacturing method, substrate processing apparatus and program |
FR3062238A1 (en) * | 2017-01-26 | 2018-07-27 | Soitec | SUPPORT FOR A SEMICONDUCTOR STRUCTURE |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
CN108417481B (en) * | 2018-03-22 | 2021-02-23 | 京东方科技集团股份有限公司 | Processing method of silicon nitride dielectric layer, thin film transistor and display device |
DE102018121897A1 (en) | 2018-09-07 | 2020-03-12 | Infineon Technologies Ag | SEMICONDUCTOR DEVICE WITH A AREA CONTAINING SILICON AND NITROGEN AND PRODUCTION METHOD |
Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070120179A1 (en) * | 2005-08-19 | 2007-05-31 | Park Hong-Bae | SONOS type non-volatile memory devices having a laminate blocking insulation layer and methods of manufacturing the same |
US20080023744A1 (en) * | 2006-07-27 | 2008-01-31 | Samsung Electronics Co., Ltd. | Nonvolatile semiconductor memory device and method of manufacturing the same |
US20080093661A1 (en) * | 2006-10-23 | 2008-04-24 | Hynix Semiconductor Inc. | Non-volatile memory device having a charge trapping layer and method for fabricating the same |
US20080121887A1 (en) * | 2006-11-24 | 2008-05-29 | Samsung Sdi Co., Ltd. | Non-volatile memory device and fabrication method thereof and memory apparatus including thereof |
Family Cites Families (83)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5264724A (en) * | 1989-02-13 | 1993-11-23 | The University Of Arkansas | Silicon nitride for application as the gate dielectric in MOS devices |
US5536947A (en) | 1991-01-18 | 1996-07-16 | Energy Conversion Devices, Inc. | Electrically erasable, directly overwritable, multibit single cell memory element and arrays fabricated therefrom |
US5406509A (en) | 1991-01-18 | 1995-04-11 | Energy Conversion Devices, Inc. | Electrically erasable, directly overwritable, multibit single cell memory elements and arrays fabricated therefrom |
US5534712A (en) | 1991-01-18 | 1996-07-09 | Energy Conversion Devices, Inc. | Electrically erasable memory elements characterized by reduced current and improved thermal stability |
JP3454821B2 (en) | 1991-08-19 | 2003-10-06 | エナージー・コンバーション・デバイセス・インコーポレーテッド | Electrically erasable, directly overwritable, multi-bit single-cell memory elements and arrays made therefrom |
US5217567A (en) * | 1992-02-27 | 1993-06-08 | International Business Machines Corporation | Selective etching process for boron nitride films |
JP3156354B2 (en) | 1992-03-27 | 2001-04-16 | 松下電器産業株式会社 | Method for manufacturing semiconductor device |
US5294518A (en) * | 1992-05-01 | 1994-03-15 | International Business Machines Corporation | Amorphous write-read optical storage memory |
JP2641385B2 (en) * | 1993-09-24 | 1997-08-13 | アプライド マテリアルズ インコーポレイテッド | Film formation method |
JPH0964205A (en) * | 1995-08-22 | 1997-03-07 | Sony Corp | Si nitride film forming method |
US6537905B1 (en) * | 1996-12-30 | 2003-03-25 | Applied Materials, Inc. | Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug |
JPH1140682A (en) | 1997-07-18 | 1999-02-12 | Sony Corp | Non-volatile semiconductor memory and its manufacture |
US5989623A (en) * | 1997-08-19 | 1999-11-23 | Applied Materials, Inc. | Dual damascene metallization |
EP1569357A1 (en) * | 1997-09-16 | 2005-08-31 | Sanyo Electric Co., Ltd. | Voice switch |
US6037235A (en) * | 1998-09-14 | 2000-03-14 | Applied Materials, Inc. | Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices |
US6413871B2 (en) * | 1999-06-22 | 2002-07-02 | Applied Materials, Inc. | Nitrogen treatment of polished halogen-doped silicon glass |
JP2001189390A (en) | 1999-12-28 | 2001-07-10 | Sony Corp | Method for fabricating semiconductor nonvolatile memory |
JP2001267437A (en) * | 2000-03-22 | 2001-09-28 | Sony Corp | Nonvolatile semiconductor memory and method of fabrication |
US6939434B2 (en) | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
JP2002067412A (en) | 2000-08-25 | 2002-03-05 | Toshiba Tec Corp | Printer |
US6750157B1 (en) | 2000-10-12 | 2004-06-15 | Advanced Micro Devices, Inc. | Nonvolatile memory cell with a nitridated oxide layer |
JP4151229B2 (en) | 2000-10-26 | 2008-09-17 | ソニー株式会社 | Nonvolatile semiconductor memory device and manufacturing method thereof |
US20030017670A1 (en) * | 2001-07-20 | 2003-01-23 | Macronix International Co., Ltd. | Method of manufacturing a semiconductor memory device with a gate dielectric stack |
US6806526B2 (en) * | 2001-08-13 | 2004-10-19 | Advanced Micro Devices, Inc. | Memory device |
US6768157B2 (en) * | 2001-08-13 | 2004-07-27 | Advanced Micro Devices, Inc. | Memory device |
JP2003068893A (en) | 2001-08-28 | 2003-03-07 | Hitachi Ltd | Nonvolatile storage cell and semiconductor integrated circuit |
US6925007B2 (en) | 2001-10-31 | 2005-08-02 | Sandisk Corporation | Multi-state non-volatile integrated circuit memory systems that employ dielectric storage elements |
JP2003224274A (en) | 2002-01-28 | 2003-08-08 | Denso Corp | Semiconductor device |
US6806203B2 (en) * | 2002-03-18 | 2004-10-19 | Applied Materials Inc. | Method of forming a dual damascene structure using an amorphous silicon hard mask |
KR100493022B1 (en) | 2002-07-10 | 2005-06-07 | 삼성전자주식회사 | Method for fabricating nonvolatile memory device having a structure of silicon-oxide-nitride-oxide-silicon |
US20040007583A1 (en) * | 2002-07-15 | 2004-01-15 | Chuan-Cheng Lin | Packing box |
JP2004095889A (en) | 2002-08-30 | 2004-03-25 | Fasl Japan Ltd | Semiconductor storage device and its manufacturing method |
US6878620B2 (en) * | 2002-11-12 | 2005-04-12 | Applied Materials, Inc. | Side wall passivation films for damascene cu/low k electronic devices |
US7723228B2 (en) * | 2003-05-20 | 2010-05-25 | Applied Materials, Inc. | Reduction of hillocks prior to dielectric barrier deposition in Cu damascene |
US7132201B2 (en) * | 2003-09-12 | 2006-11-07 | Micron Technology, Inc. | Transparent amorphous carbon structure in semiconductor devices |
US20050088261A1 (en) | 2003-10-24 | 2005-04-28 | Lianjun Liu | Method of making a micromechanical device |
KR100578131B1 (en) * | 2003-10-28 | 2006-05-10 | 삼성전자주식회사 | Non-volatile memory devices and method of forming the same |
US7183166B2 (en) | 2003-11-25 | 2007-02-27 | Macronix International Co., Ltd. | Method for forming oxide on ONO structure |
US7064078B2 (en) | 2004-01-30 | 2006-06-20 | Applied Materials | Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme |
US7638440B2 (en) * | 2004-03-12 | 2009-12-29 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for etch hardmask application |
JP4879159B2 (en) * | 2004-03-05 | 2012-02-22 | アプライド マテリアルズ インコーポレイテッド | CVD process for amorphous carbon film deposition |
JP4546117B2 (en) * | 2004-03-10 | 2010-09-15 | ルネサスエレクトロニクス株式会社 | Nonvolatile semiconductor memory device |
US7079740B2 (en) * | 2004-03-12 | 2006-07-18 | Applied Materials, Inc. | Use of amorphous carbon film as a hardmask in the fabrication of optical waveguides |
US20050199585A1 (en) * | 2004-03-12 | 2005-09-15 | Applied Materials, Inc. | Method of depositing an amorphous carbon film for metal etch hardmask application |
US7229911B2 (en) | 2004-04-19 | 2007-06-12 | Applied Materials, Inc. | Adhesion improvement for low k dielectrics to conductive materials |
JP4760081B2 (en) | 2004-04-21 | 2011-08-31 | 株式会社デンソー | Semiconductor device and manufacturing method thereof |
US7220982B2 (en) * | 2004-07-27 | 2007-05-22 | Micron Technology, Inc. | Amorphous carbon-based non-volatile memory |
US7163877B2 (en) | 2004-08-18 | 2007-01-16 | Tokyo Electron Limited | Method and system for modifying a gate dielectric stack containing a high-k layer using plasma processing |
US7288784B2 (en) * | 2004-08-19 | 2007-10-30 | Micron Technology, Inc. | Structure for amorphous carbon based non-volatile memory |
US7033956B1 (en) | 2004-11-01 | 2006-04-25 | Promos Technologies, Inc. | Semiconductor memory devices and methods for making the same |
US20060105106A1 (en) * | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
US7355235B2 (en) | 2004-12-22 | 2008-04-08 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method for high-k gate dielectrics |
US7374174B2 (en) * | 2004-12-22 | 2008-05-20 | Micron Technology, Inc. | Small electrode for resistance variable devices |
US20060131555A1 (en) * | 2004-12-22 | 2006-06-22 | Micron Technology, Inc. | Resistance variable devices with controllable channels |
US7253123B2 (en) * | 2005-01-10 | 2007-08-07 | Applied Materials, Inc. | Method for producing gate stack sidewall spacers |
JP2006237311A (en) | 2005-02-25 | 2006-09-07 | Matsushita Electric Ind Co Ltd | Nonvolatile semiconductor memory and manufacturing method thereof |
JP4515293B2 (en) | 2005-03-08 | 2010-07-28 | パナソニック株式会社 | Semiconductor integrated circuit device and manufacturing method thereof |
DE102005011054A1 (en) * | 2005-03-10 | 2006-09-14 | Smiths Heimann Gmbh | Method and device for checking carry-on luggage and other items carried along |
US7732342B2 (en) * | 2005-05-26 | 2010-06-08 | Applied Materials, Inc. | Method to increase the compressive stress of PECVD silicon nitride films |
US8138104B2 (en) * | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US8129290B2 (en) * | 2005-05-26 | 2012-03-06 | Applied Materials, Inc. | Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure |
US7566655B2 (en) * | 2005-05-26 | 2009-07-28 | Applied Materials, Inc. | Integration process for fabricating stressed transistor structure |
KR100669089B1 (en) | 2005-07-11 | 2007-01-16 | 삼성전자주식회사 | Gate structure, sonos non-volatile memory device having the gate structure and method of manufacturing the sonos non-volatile memory device |
KR100632651B1 (en) * | 2005-09-15 | 2006-10-11 | 주식회사 하이닉스반도체 | Method of manufacturing a flash memory device |
US7294581B2 (en) | 2005-10-17 | 2007-11-13 | Applied Materials, Inc. | Method for fabricating silicon nitride spacer structures |
US7416995B2 (en) | 2005-11-12 | 2008-08-26 | Applied Materials, Inc. | Method for fabricating controlled stress silicon nitride films |
US7678662B2 (en) * | 2005-12-13 | 2010-03-16 | Applied Materials, Inc. | Memory cell having stressed layers |
KR100745957B1 (en) * | 2006-02-07 | 2007-08-02 | 주식회사 하이닉스반도체 | Method of manufacturing a flash memory device |
KR100824401B1 (en) | 2006-03-07 | 2008-04-22 | 삼성전자주식회사 | Cell Array Structure Of NAND Flash Memory Device |
US20070238254A1 (en) * | 2006-03-28 | 2007-10-11 | Applied Materials, Inc. | Method of etching low dielectric constant films |
KR101025762B1 (en) | 2006-09-27 | 2011-04-04 | 삼성전자주식회사 | Method of manufacturing flash memory device having blocking oxide film |
KR20080035919A (en) | 2006-10-20 | 2008-04-24 | 삼성전자주식회사 | Flash memory device and method for manufacturing the same |
JP2008112762A (en) * | 2006-10-27 | 2008-05-15 | Tokyo Electron Ltd | Method of forming high dielectric film and method of manufacturing semiconductor device |
JP4985929B2 (en) * | 2006-10-31 | 2012-07-25 | スタンレー電気株式会社 | Organic thin film device and tandem photoelectric conversion device |
KR101033221B1 (en) * | 2006-12-29 | 2011-05-06 | 주식회사 하이닉스반도체 | Non-volatile memory device having charge trapping layer and method of fabricating the same |
US8318614B2 (en) * | 2007-03-26 | 2012-11-27 | Tokyo Electron Limited | Method for forming silicon nitride film, method for manufacturing nonvolatile semiconductor memory device, nonvolatile semiconductor memory device and plasma apparatus |
KR100894098B1 (en) * | 2007-05-03 | 2009-04-20 | 주식회사 하이닉스반도체 | Nonvolatile memory device having fast erase speed and improoved retention charactericstics, and method of fabricating the same |
US7718533B2 (en) * | 2007-05-08 | 2010-05-18 | Micron Technology, Inc. | Inverted variable resistance memory cell and method of making the same |
US7910497B2 (en) * | 2007-07-30 | 2011-03-22 | Applied Materials, Inc. | Method of forming dielectric layers on a substrate and apparatus therefor |
WO2009045964A1 (en) * | 2007-10-01 | 2009-04-09 | Applied Materials, Inc. | Low temperature conformal oxide formation and applications |
US8252696B2 (en) * | 2007-10-22 | 2012-08-28 | Applied Materials, Inc. | Selective etching of silicon nitride |
US8110476B2 (en) * | 2008-04-11 | 2012-02-07 | Sandisk 3D Llc | Memory cell that includes a carbon-based memory element and methods of forming the same |
US7723180B2 (en) * | 2008-04-11 | 2010-05-25 | Sandisk 3D Llc | Multilevel nonvolatile memory device containing a carbon storage material and methods of making and using same |
-
2008
- 2008-10-21 US US12/255,617 patent/US8252653B2/en active Active
- 2008-10-22 US US12/256,173 patent/US8501568B2/en not_active Expired - Fee Related
- 2008-10-22 US US12/256,119 patent/US7816205B2/en not_active Expired - Fee Related
-
2009
- 2009-10-21 TW TW098135640A patent/TW201025513A/en unknown
- 2009-10-21 CN CN2013101362575A patent/CN103280446A/en active Pending
- 2009-10-21 JP JP2011533281A patent/JP2012506640A/en active Pending
- 2009-10-21 CN CN2009801424516A patent/CN102197483A/en active Pending
- 2009-10-21 KR KR1020117011380A patent/KR101553554B1/en active IP Right Grant
- 2009-10-21 WO PCT/US2009/061390 patent/WO2010048236A2/en active Application Filing
Patent Citations (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20070120179A1 (en) * | 2005-08-19 | 2007-05-31 | Park Hong-Bae | SONOS type non-volatile memory devices having a laminate blocking insulation layer and methods of manufacturing the same |
US20080023744A1 (en) * | 2006-07-27 | 2008-01-31 | Samsung Electronics Co., Ltd. | Nonvolatile semiconductor memory device and method of manufacturing the same |
US20080093661A1 (en) * | 2006-10-23 | 2008-04-24 | Hynix Semiconductor Inc. | Non-volatile memory device having a charge trapping layer and method for fabricating the same |
US20080121887A1 (en) * | 2006-11-24 | 2008-05-29 | Samsung Sdi Co., Ltd. | Non-volatile memory device and fabrication method thereof and memory apparatus including thereof |
Non-Patent Citations (1)
Title |
---|
CHOI, S.M. APPLIED PHYSICS LETTERS vol. 86, no. 251901, 2005, page 251901 * |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2012212721A (en) * | 2011-03-30 | 2012-11-01 | Tokyo Electron Ltd | Method for laminating silicon oxide film and silicon nitride film, and film forming apparatus and method for manufacturing semiconductor device |
KR101501038B1 (en) * | 2011-03-30 | 2015-03-10 | 도쿄엘렉트론가부시키가이샤 | Method of depositing silicon oxide film and silicon nitride film, film forming apparatus, and method of manufacturing semiconductor device |
Also Published As
Publication number | Publication date |
---|---|
KR101553554B1 (en) | 2015-09-17 |
US20100096688A1 (en) | 2010-04-22 |
JP2012506640A (en) | 2012-03-15 |
US7816205B2 (en) | 2010-10-19 |
US8252653B2 (en) | 2012-08-28 |
US20100099247A1 (en) | 2010-04-22 |
WO2010048236A3 (en) | 2010-07-29 |
US8501568B2 (en) | 2013-08-06 |
CN102197483A (en) | 2011-09-21 |
KR20110086090A (en) | 2011-07-27 |
CN103280446A (en) | 2013-09-04 |
US20100096687A1 (en) | 2010-04-22 |
TW201025513A (en) | 2010-07-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8252653B2 (en) | Method of forming a non-volatile memory having a silicon nitride charge trap layer | |
US8198671B2 (en) | Modification of charge trap silicon nitride with oxygen plasma | |
KR101244839B1 (en) | Tensile and compressive stressed materials for semiconductors | |
KR101201402B1 (en) | Method to increase tensile stress of silicon nitride films by using a post pecvd deposition uv cure | |
KR100914570B1 (en) | Integration process for fabricating stressed transistor structure | |
KR100950623B1 (en) | Method to increase the compressive stress of pecvd silicon nitride films | |
KR101081632B1 (en) | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ uv cure | |
KR20210045970A (en) | Method of forming a SiN thin film | |
CN100561708C (en) | Make the integration process of stressed transistor structure | |
JP2017531920A (en) | High temperature silicon oxide atomic layer deposition technology | |
KR20210055103A (en) | Thin film processing process |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
WWE | Wipo information: entry into national phase |
Ref document number: 200980142451.6 Country of ref document: CN |
|
121 | Ep: the epo has been informed by wipo that ep was designated in this application |
Ref document number: 09822598 Country of ref document: EP Kind code of ref document: A2 |
|
WWE | Wipo information: entry into national phase |
Ref document number: 2011533281 Country of ref document: JP |
|
NENP | Non-entry into the national phase |
Ref country code: DE |
|
ENP | Entry into the national phase |
Ref document number: 20117011380 Country of ref document: KR Kind code of ref document: A |
|
122 | Ep: pct application non-entry in european phase |
Ref document number: 09822598 Country of ref document: EP Kind code of ref document: A2 |