WO2011022749A1 - Method of removing photoresist and etch-residues from vias - Google Patents

Method of removing photoresist and etch-residues from vias Download PDF

Info

Publication number
WO2011022749A1
WO2011022749A1 PCT/AU2009/001088 AU2009001088W WO2011022749A1 WO 2011022749 A1 WO2011022749 A1 WO 2011022749A1 AU 2009001088 W AU2009001088 W AU 2009001088W WO 2011022749 A1 WO2011022749 A1 WO 2011022749A1
Authority
WO
WIPO (PCT)
Prior art keywords
photoresist
gas
fluorine
ink
ashing
Prior art date
Application number
PCT/AU2009/001088
Other languages
French (fr)
Inventor
Yao FU
Yi-Wen Tsai
Darrell Larue Mcreynolds
David Secker
Valerie Bordelanne
Witold Wiszniewski
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Priority to KR1020127004947A priority Critical patent/KR20120060832A/en
Priority to CN2009801601351A priority patent/CN102473637A/en
Priority to SG2012010617A priority patent/SG178435A1/en
Priority to PCT/AU2009/001088 priority patent/WO2011022749A1/en
Priority to JP2012516433A priority patent/JP2012531053A/en
Publication of WO2011022749A1 publication Critical patent/WO2011022749A1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14016Structure of bubble jet print heads
    • B41J2/14088Structure of heating means
    • B41J2/14112Resistive element
    • B41J2/14137Resistor surrounding the nozzle opening
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1629Manufacturing processes etching wet etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • B41J2/1642Manufacturing processes thin film formation thin film formation by CVD [chemical vapor deposition]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00444Surface micromachining, i.e. structuring layers on the substrate
    • B81C1/00468Releasing structures
    • B81C1/00476Releasing structures removing a sacrificial layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/052Ink-jet print cartridges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)

Abstract

A method of photoresist removal with concomitant de-veiling is provided. The method employs a plasma formed from a gas chemistry comprising O2, NH3 and a fluorine-containing gas, such as CF4. The method is particularly suitable for use in MEMS fabrication processes, such as inkjet printhead fabrication.

Description

METHOD OF REMOVING PHOTORESIST AND ETCH-RESIDUES FROM VIAS
Field of the Invention
The present invention relates to the field of printers and particularly MEMS inkjet printheads. It has been developed primarily to improve fabrication of MEMS inkjet printheads, although the invention is equally applicable to any MEMS fabrication process.
Background of the Invention
Many different types of printing have been invented, a large number of which are presently in use. The known forms of print have a variety of methods for marking the print media with a relevant marking media. Commonly used forms of printing include offset printing, laser printing and copying devices, dot matrix type impact printers, thermal paper printers, film recorders, thermal wax printers, dye sublimation printers and inkjet printers both of the drop on demand and continuous flow type. Each type of printer has its own advantages and problems when considering cost, speed, quality, reliability, simplicity of construction and operation etc.
In recent years, the field of inkjet printing, wherein each individual pixel of ink is derived from one or more ink nozzles has become increasingly popular primarily due to its inexpensive and versatile nature.
Many different techniques on ink jet printing have been invented. For a survey of the field, reference is made to an article by J Moore, "Non-Impact Printing: Introduction and Historical Perspective", Output Hard Copy Devices, Editors R Dubeck and S Sherr, pages 207 - 220 (1988).
Ink Jet printers themselves come in many different types. The utilization of a continuous stream of ink in inkjet printing appears to date back to at least 1929 wherein US Patent No.
1941001 by Hansell discloses a simple form of continuous stream electro-static inkjet printing.
US Patent 3596275 by Sweet also discloses a process of a continuous inkjet printing including the step wherein the ink jet stream is modulated by a high frequency electro-static field so as to cause drop separation. This technique is still utilized by several manufacturers including Elmjet and Scitex (see also US Patent No. 3373437 by Sweet et al)
Piezoelectric ink jet printers are also one form of commonly utilized ink jet printing device. Piezoelectric systems are disclosed by Kyser et al. in US Patent No. 3946398 (1970) which utilizes a diaphragm mode of operation, by Zolten m US Patent 3683212 (1970) which discloses a squeeze mode of operation of a piezoelectric crystal, Stemme in US Patent No. 3747120 (1972) discloses a bend mode of piezoelectric operation. Howkins in US Patent No 4459601 discloses a piezoelectric push mode actuation of the ink jet stream and Fischbeck in US 4584590 which discloses a shear mode type of piezoelectric transducer element.
Recently, thermal ink jet printing has become an extremely popular form of ink jet printing. The ink jet printing techniques include those disclosed by Endo et al m GB 2007162 (1979) and Vaught et al in US Patent 4490728. Both the aforementioned references disclosed ink jet printing techniques that rely upon the activation of an electrothermal actuator which results m the creation of a bubble in a constricted space, such as a nozzle, which thereby causes the ejection of ink from an aperture connected to the confined space onto a relevant print media. Printing devices utilizing the electro-thermal actuator are manufactured by manufacturers such as Canon and Hewlett Packard.
As can be seen from the foregoing, many different types of printing technologies are available. Ideally, a printing technology should have a number of desirable attributes. These include inexpensive construction and operation, high speed operation, safe and continuous long term operation etc. Each technology may have its own advantages and disadvantages in the areas of cost, speed, quality, reliability, power usage, simplicity of construction operation, durability and consumables.
The present Applicant has developed a plethora of inkjet printheads fabricated by MEMS techniques. Typically, MEMS fabrication employs a plurality of photoresist deposition and removal steps. Removal of relatively thin layers of photoresist (c.a. 1 micron or less), used as photolithographic masks, is usually facile. Standard conditions employ an oxygen plasma, which oxidatively removes any photoresist in a process colloquially known m the art as "ashing".
In the fabrication of inkjet nozzle assemblies, the present Applicant has employed photoresist as a sacrificial scaffold onto which other materials (e.g. heater material, roof structures) may be deposited. This technique enables relatively complex nozzle assemblies to be constructed. However, it requires deposition of relatively thick layers of viscous, heat-resistant photoresist. As will be explained in more detail below, photoresist layers or plugs of up to 30 microns may be required. Furthermore, this photoresist must be thoroughly hardbaked and UV cured so that it does not reflow during subsequent high-temperature deposition steps e.g.
deposition of metals or ceramic material onto the photoresist.
In a typical MEMS printhead fabrication process, a final ashing step removes all remaining photoresist in the nozzle assemblies, including photoresist scaffolds and photoresist plugs employed during the fabrication process. Hitherto, traditional O2 plasma ashing techniques have been employed for final or late-stage removal of photoresist
However, thick layers of photoresist, which have been hardbaked and UV cured have increased resistance to ashing and are removed relatively slowly by traditional O2 ashing techniques. This means that prolonged ashing times are required and/or higher ashing temperatures. Prolonged ashing times and/or higher ashmg temperatures are undesirable, because there is an increased risk of damage to other MEMS structures (e.g. nozzle chambers, actuators) during the ashing process. Moreover, there is, in general, a need to increase the efficiency of each MEMS processing step so as to reduce processing time and, ultimately, reduce the cost of each pnnthead.
Combinations of O2 with fluorinated gases (e.g. CF4) are known to improve ashing rates. However, the Applicant has found that O2/CF4 gas chemistries require significant amounts of CF4 (>10%) to provide improved ashing rates. At high concentrations of CF4, the ashing conditions have a deleterious effect on silicon nitride nozzle structures in the Applicant's printheads. Hence O2/CF4 has proven to be unsatisfactory for removing hardbaked photoresist from the Applicant's printheads.
The use of O2/N2 is also known to improve ashing rates, although the addition of N2 shows only moderate improvement over pure O2 for the removal of hardbaked photoresist.
Accordingly, from the foregoing, it will be appreciated that there is a need to improve the efficiency of photoresist removal in MEMS fabrication techniques.
It would be further desirable to remove 'veils' from etched vias concomitantly with photoresist removal. Post-etch residues or 'veils' form along via sidewalls as a byproduct of anisotropic etch processes (e.g. Bosch process). Veils are a well-recognized problem in the art and are notoriously difficult to remove. Veils typically contain entrapped species of the materials etched, which are generally silicon-oxy-carbon compounds. Polymer-forming anisotropic etch chemistries (e.g. Bosch process) create veils that can usually only be removed using aggressive, wet chemical solvents. Furthermore, conventional ashing using O2 at elevated temperature typically compounds the problem of veils, making them even more difficult to remove.
Accordingly, there is a need for a dry de- veiling process, which is reliable and which does not require aggressive wet chemicals that may damage the wafer.
Whilst the above-mentioned needs have been presented in the context of pnnthead fabrication, it will be appreciated that any MEMS fabrication process would benefit from improved techniques for photoresist removal and/or de- veiling, especially those MEMS fabrication processes which use a relatively thick layer of sacrificial photoresist that has been hardbaked and/or UV cured.
Summary of the Invention In a first aspect, there is provided a method of removing photoresist from a substrate, the method employing a plasma formed from a gas chemistry comprising: O2, NH3 and a fluorine- containing gas. The method according to the present invention surprisingly and advantageously improves ashing rates by at least 20%, at least 50% or at least 100%, compared with ashing rates using a conventional O2 plasma or an O2/N2 plasma.
The method according to the present invention concomitantly de- veils etched vias in the substrate in contrast with conventional O2 or O2/N2 ashing plasmas.
Optionally, fluorine-containing gas is CF4.
Optionally, the fluorine-containing gas is present in said gas chemistry m a concentration of less than 5% by volume The amount of fluorine-containing gas is usually kept low so as to avoid damaging any silicon nitride printhead structures in the substrate.
Optionally, the fluorine-containing gas is present in the gas chemistry in a concentration of less than 3% by volume
Optionally, a ratio of O2:NH3 is in the range of 20: 1 to 5: 1.
Optionally, a ratio of O2:CF4 is in the range of 40: 1 to 20: 1.
Optionally, the gas chemistry consists only of O2, NH3 and CF4. However, inert gases such as He and Ar may be present in the gas chemistry, if required.
Optionally, the photoresist is hardbaked photoresist and/or UV-cured photoresist, which is particularly difficult to remove using conventional O2 or O2/N2 ashing plasmas. Moreover, the use of conventional ashing plasma usually leaves residues ('veils') which are problematic in themselves
Optionally, the photoresist has a thickness of at least 5 microns, such as the photoresist used as a sacrificial scaffold in the formation MEMS structures (e.g. inkjet nozzle assemblies).
Optionally, the substrate is attached to a chuck, and the chuck is cooled to a temperature in the range of -5 to -300C.
Optionally, the method is a step of a MEMS fabrication process, such as a printhead fabrication process.
Optionally, the photoresist is contained in inkjet nozzle chambers and/or ink supply channels.
Optionally, the photoresist is a protective coating for inkjet nozzle assemblies and/or a mask for an anisotropic deep reactive ion etching (DRIE) process.
In a second aspect, there is provided a method of fabricating an inkjet printhead, the method comprising the steps of:
forming inkjet nozzle chambers on a frontside of a wafer substrate, each nozzle chamber having a corresponding ink inlet plugged with photoresist; etching ink supply channels from a backside of the wafer substrate to meet with the ink inlets plugged with photoresist; and
removing at least some of the photoresist and concomitantly de- veiling the ink supply channels by subjecting the backside to a first plasma formed from a first gas chemistry comprising: O2, NH3 and a fluorme-containing gas.
Optionally, the method comprises the further step of:
removing further photoresist by subjecting the frontside to a second plasma formed from a second gas chemistry comprising: O2 and NH3. Brief Description of the Drawings
Optional embodiments of the present invention will now be described by way of example only with reference to the accompanying drawings, in which:
Figure 1 is a partial perspective view of an array of nozzle assemblies of a thermal mkjet printhead;
Figure 2 is a side view of a nozzle assembly unit cell shown in Figure 1;
Figure 3 is a perspective of the nozzle assembly shown in Figure 2;
Figure 4 shows a partially-formed nozzle assembly after deposition of side walls and roof material onto a sacrificial photoresist layer;
Figure 5 is a perspective of the nozzle assembly shown in Figure 4;
Figure 6 is the mask associated with the nozzle rim etch shown in Figure 7;
Figure 7 shows the etch of the roof layer to form the nozzle opening rim;
Figure 8 is a perspective of the nozzle assembly shown in Figure 7;
Figure 9 is the mask associated with the nozzle opening etch shown in Figure 10;
Figure 10 shows the etch of the roof material to form the elliptical nozzle openings; Figure 11 is a perspective of the nozzle assembly shown in Figure 10;
Figure 12 shows the nozzle assembly after backside wafer thinning;
Figure 13 is a perspective of the nozzle assembly shown in Figure 12;
Figure 14 is the mask associated with the backside etch shown in Figure 15;
Figure 15 shows the backside etch of the ink supply channel into the wafer;
Figure 16 is a perspective of the nozzle assembly shown in Figure 15;
Figure 17 shows the nozzle assembly after backside ashing; and
Figure 18 is a perspective of the nozzle assembly shown in Figure 17; Description of Optional Embodiments
As foreshadowed above, the present invention may be used in connection with any process requiring removal of photoresist. However, it will now be exemplified using the example of MEMS inkjet printhead fabrication. The present Applicant has previously described a fabrication of a plethora of inkjet printheads for which the present invention is suitable. It is not necessary to describe all such printheads here for an understanding of the present invention. However, the present invention will now be described in connection with a thermal bubble-forming inkjet printhead and a mechanical thermal bend actuated mkjet printhead. Advantages of the present invention will be readily apparent from the discussion that follows.
Referring to Figure 1, there is shown a part of printhead comprising a plurality of nozzle assemblies. Figures 2 and 3 show one of these nozzle assemblies in side-section and cutaway perspective views.
Each nozzle assembly comprises a nozzle chamber 24 formed by MEMS fabrication techniques on a silicon wafer substrate 2. The nozzle chamber 24 is defined by a roof 21 and sidewalls 22 which extend from the roof 21 to the silicon substrate 2. As shown in Figure 1, each roof is defined by part of a nozzle plate 56, which spans across an ejection face of the printhead. The nozzle plate 56 and sidewalls 22 are formed of the same material, which is deposited by PECVD over a sacrificial scaffold of photoresist during MEMS fabrication. Typically, the nozzle plate 56 and sidewalls 21 are formed of a ceramic material, such as silicon dioxide or silicon nitride. These hard materials have excellent properties for printhead robustness, and their inherently hydrophilic nature is advantageous for supplying ink to the nozzle chambers 24 by capillary action.
Returning to the details of the nozzle chamber 24, it will be seen that a nozzle opening 26 is defined in a roof of each nozzle chamber 24. Each nozzle opening 26 is generally elliptical and has an associated nozzle rim 25. The nozzle rim 25 assists with drop directionality during printing as well as reducing, at least to some extent, ink flooding from the nozzle opening 26. The actuator for ejecting ink from the nozzle chamber 24 is a heater element 29 positioned beneath the nozzle opening 26 and suspended across a pit 8. Current is supplied to the heater element 29 via electrodes 9 connected to drive circuitry in underlying CMOS layers of the substrate 2. When a current is passed through the heater element 29, it rapidly superheats surrounding ink to form a gas bubble, which forces ink through the nozzle opening. By suspending the heater element 29, it is completely immersed in ink when the nozzle chamber 24 is primed. This improves printhead efficiency, because less heat dissipates into the underlying substrate 2 and more input energy is used to generate a bubble. As seen most clearly in Figure 1, the nozzles are arranged in rows and an ink supply channel 27 extending longitudinally along the row supplies ink to each nozzle in the row. The ink supply channel 27 delivers ink to an ink inlet passage 15 for each nozzle, which supplies ink from the side of the nozzle opening 26 via an ink conduit 23 in the nozzle chamber 24
The complete MEMS fabrication process for manufacturing such printheads was described in detail in our previously filed US Application No. 11/246,684 filed on October 11, 2005, the contents of which is herein incorporated by reference. The latter stages of this fabrication process are briefly revisited here so as to illustrate one example of the present invention.
Figures 4 and 5 show a partially-fabricated printhead comprising a nozzle chamber 24 encapsulating sacrificial photoresist 16. During nozzle fabrication, the photoresist 16 was used firstly to plug the ink inlet 15 (shown in Figure 2), secondly as a scaffold for deposition of heater material to form the suspended heater element 29, and thirdly as a scaffold for deposition of the sidewalls 22 and roof 21 (which defines part of the nozzle plate 56). The photoresist plugging the ink inlet 15 has a depth of about 20 microns, while the photoresist used as a scaffold in the nozzle chambers has a thickness of at least 5 microns. Furthermore, all the photoresist 16 was hardbaked and UV cured and must be removed later on in the fabrication process.
Referring to Figures 6 to 8, the next stage of MEMS fabrication defines the elliptical nozzle rim 25 in the roof 21 by etching away 2 microns of roof material 20. This etch is defined using a layer of photoresist (not shown) exposed by the dark tone rim mask shown in Figure 6. The elliptical rim 25 comprises two coaxial rim lips 25a and 25b, positioned over their respective thermal actuator 29.
Referring to Figures 9 to 11, the next stage defines an elliptical nozzle aperture 26 in the roof 21 by etching all the way through the remaining roof material 20, which is bounded by the rim 25. This etch is defined using a layer of photoresist (not shown) exposed by the dark tone roof mask shown in Figure 9. The elliptical nozzle aperture 26 is positioned over the thermal actuator 29, as shown in Figure 11.
Once frontside MEMS processing of the wafer is completed, the wafer is then thinned by backside grinding and etching to a thickness of about 150 microns (Figures 12 and 13) After wafer thinning, ink supply channels 27 are etched from the backside of the wafer to meet with the ink inlets 15 using a standard anisotropic DRIE (Figures 14 to 16). This backside etch is defined using a layer of hardbaked photoresist 50 exposed by the dark tone mask shown in Figure 14. The ink supply channel 27 will make a fluidic connection between the backside of the wafer and the ink inlets 15 after removal of all the sacrifical photoresist 16 used in the fabrication of frontside MEMS nozzles assemblies. Removal of the photoresist proceeds firstly with backside ashing to remove the backside hardbaked photoresist layer 50 and a portion of the plug of photoresist 16 plugging the frontside ink inlets 15 (Figures 17 and 18). Backside ashing utilizes the ashing conditions described in the
Example below with a sequential three- stage ashing process.
In a conventional ashing processes, an O2 plasma is employed for ashing the photoresist
16. However, in accordance with the present invention, the ashing plasma is formed using a gas chemistry comprising O2, NH3 and CF4. When the plasma is formed from a gas chemistry comprising this gas chemistry, superior ashing is achieved in terms of increased ashing rate and reduced damage to nozzle structures. Moreover, veils resulting from backside anisotropic etching of the ink supply channels 27 are also removed using this gas chemistry, obviating the need for aggressive wet-chemical removal of veils. Experimental details of ashing conditions are described in more detail m the Example section below.
Finally, frontside ashing removes the remainder of the photoresist 16 to provide the completed prmthead shown in Figure 1 to 3. Frontside ashing may utilize the O2/NH3/CF4 gas chemistry in accordance with the present invention. Alternatively, frontside ashing may utilize an O2/NH3 gas chemistry as described the Applicant's US Publication No. US 2009/0078675, the contents of which are herein incorporated by reference.
Figure 1 shows three adjacent rows of nozzles in a cutaway perspective view of a completed prmthead integrated circuit. Each row of nozzles has a respective ink supply channel 27 extending along its length and supplying ink to a plurality of ink inlets 15 in each row. The ink inlets, in turn, supply ink to the ink conduit 23 for each row, with each nozzle chamber receiving ink from a common ink conduit for that row.
It will be appreciated by the person skilled in the art that the exact ordering of late-stage
MEMS fabrication steps may be varied. For example, the wafer may be subjected to backside ashmg only or frontside ashing only. Regardless, it will be appreciated that the wafer must be subjected to ashing, either frontside ashing and/or backside ashing, in order to remove the photoresist 16 and furnish the prmthead.
Examples
Backside ashing of the wafer shown in Figures 17 and 18 was performed in an ashing oven, using the optimized ashing sequence shown in Table 1. Recipe 1 was used for 15 minutes, followed by Recipe 2 for 5 minutes and then Recipe 3 for 10 minutes. The temperature in Table 1 refers to the chuck temperature, which is cooled using helium.
Figure imgf000010_0001
Table 1
Under the sequential ashing conditions shown in Table 1, an excellent rate of photoresist removal was observed. Moreover the ink supply channel 27 and the ink inlet had been completely de-veiled, as confirmed by SEM. By way of comparison, conventional O2 ashing or O2/N2 ashing required about 70-90 minutes of ashing time to remove the same photoresist, and left significant veils which had to be removed by subsequent wet-chemical treatment.
As expected, the excellent ashing rates and de-veiling were also observed in frontside ashing experiments using the O2/NHVCF4 gas chemistry.
From these experiments, it can be concluded that gas chemistries comprising O2/NH3/CF4 provide superior ashing rates and surprising efficacy in de-veiling compared to conventional ashing conditions.
It will be appreciated by ordinary workers in this field that numerous variations and/or modifications may be made to the present invention as shown in the specific embodiments without departing from the spirit or scope of the invention as broadly described. The present embodiments are, therefore, to be considered in all respects to be illustrative and not restrictive.

Claims

CLAIMS:
1. A method of removing photoresist from a substrate, said method employing a plasma formed from a gas chemistry comprising: O2, NH3 and a fluorine-contammg gas.
2. The method of claim 1, wherein said method concomitantly de- veils etched vias in said substrate.
3. The method of claim 1, wherein said fluorine-containing gas is CF4.
4. The method of claim 1, wherein said fluorine-containing gas is present m said gas chemistry in a concentration of less than 5% by volume
5. The method of claim 1, wherein said fluorine-containing gas is present m said gas chemistry in a concentration of less than 3% by volume
6. The method of claim 1 , wherein a ratio of O2:NH3 is in the range of 20: 1 to 5 : 1.
7. The method of claim 1 , wherein a ratio of C^CF4 is in the range of 40: 1 to 20: 1.
8. The method of claim 1, wherein the gas chemistry consists only of O2, NH3 and CF4.
9. The method of claim 1 , wherein a rate of photoresist removal is at least 20% greater than a rate of photoresist removal using an O2 plasma.
10. The method of claim 1 , wherein said photoresist is hardbaked photoresist.
11. The method of claim 1, wherein said photoresist is UV-cured photoresist.
12. The method of claim 1, wherein said photoresist has a thickness of at least 5 microns.
13. The method of claim 1, wherein said substrate is attached to a chuck, and said chuck is cooled to a temperature in the range of -5 to -3O0C.
14. The method of claim 1, wherein said method is a step of a MEMS fabrication process.
15. The method of claim 1, wherein said method is a step of a printhead fabrication process.
16. The method of claim 15, wherein said photoresist is contained in at least one of: inkjet nozzle chambers and ink supply channels.
17. The method of claim 15, wherein said photoresist is a protective coating for inkjet nozzle assemblies and/or a mask for an anisotropic deep reactive ion etching (DRIE) process.
18. A method of fabricating an inkjet printhead, said method comprising the steps of:
forming inkjet nozzle chambers on a frontside of a wafer substrate, each nozzle chamber having a corresponding ink inlet plugged with photoresist;
etching ink supply channels from a backside of said wafer substrate to meet with said ink inlets plugged with photoresist; and
removing at least some of said photoresist and concomitantly de- veiling said ink supply channels by subjecting said backside to a first plasma formed from a first gas chemistry comprising: O2, NH3 and a fluorine-containing gas.
19. The method of claim 18 comprising the further step of:
removing further photoresist by subjecting said frontside to a second plasma formed from a second gas chemistiy comprising: O2 and NH3.
20. The method of claim 18, wherein said second gas chemistry comprises: O2, NH3 and a fluorine-containing gas.
PCT/AU2009/001088 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias WO2011022749A1 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020127004947A KR20120060832A (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias
CN2009801601351A CN102473637A (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias
SG2012010617A SG178435A1 (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias
PCT/AU2009/001088 WO2011022749A1 (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias
JP2012516433A JP2012531053A (en) 2009-08-25 2009-08-25 Method for removing photoresist and etching residues from vias

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/AU2009/001088 WO2011022749A1 (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias

Publications (1)

Publication Number Publication Date
WO2011022749A1 true WO2011022749A1 (en) 2011-03-03

Family

ID=43627057

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/AU2009/001088 WO2011022749A1 (en) 2009-08-25 2009-08-25 Method of removing photoresist and etch-residues from vias

Country Status (5)

Country Link
JP (1) JP2012531053A (en)
KR (1) KR20120060832A (en)
CN (1) CN102473637A (en)
SG (1) SG178435A1 (en)
WO (1) WO2011022749A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103092009B (en) * 2011-11-08 2015-05-20 无锡华润华晶微电子有限公司 Removing method of photoresist used as masking layer of plasma injection
JP5921953B2 (en) * 2012-03-28 2016-05-24 芝浦メカトロニクス株式会社 Reflective mask manufacturing method and reflective mask manufacturing apparatus
KR20240040525A (en) * 2022-09-21 2024-03-28 피에스케이 주식회사 A method for treating a substrate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020058397A1 (en) * 2000-11-15 2002-05-16 Smith Patricia B. Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
US20060040474A1 (en) * 2004-08-17 2006-02-23 Jyu-Horng Shieh Low oxygen content photoresist stripping process for low dielectric constant materials
US20070020944A1 (en) * 2003-08-08 2007-01-25 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (slam) over a dielectric material
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001313280A (en) * 2000-04-02 2001-11-09 Axcelis Technologies Inc Postetched photoresist and method for removing residue
JP5038567B2 (en) * 2001-09-26 2012-10-03 東京エレクトロン株式会社 Etching method
JP2005268312A (en) * 2004-03-16 2005-09-29 Semiconductor Leading Edge Technologies Inc Resist removing method and semiconductor device manufactured using same
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6440864B1 (en) * 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US20020058397A1 (en) * 2000-11-15 2002-05-16 Smith Patricia B. Hydrogen plasma photoresist strip and polymeric residue cleanup process for low dielectric constant materials
US6806038B2 (en) * 2002-07-08 2004-10-19 Lsi Logic Corporation Plasma passivation
US20070020944A1 (en) * 2003-08-08 2007-01-25 Applied Materials, Inc. Selective etch process of a sacrificial light absorbing material (slam) over a dielectric material
US20060040474A1 (en) * 2004-08-17 2006-02-23 Jyu-Horng Shieh Low oxygen content photoresist stripping process for low dielectric constant materials
US20090078675A1 (en) * 2007-09-26 2009-03-26 Silverbrook Research Pty Ltd Method of removing photoresist

Also Published As

Publication number Publication date
SG178435A1 (en) 2012-03-29
CN102473637A (en) 2012-05-23
JP2012531053A (en) 2012-12-06
KR20120060832A (en) 2012-06-12

Similar Documents

Publication Publication Date Title
US8277024B2 (en) Printhead integrated circuit having exposed active beam coated with polymer layer
US7794613B2 (en) Method of fabricating printhead having hydrophobic ink ejection face
US7669967B2 (en) Printhead having hydrophobic polymer coated on ink ejection face
US7658977B2 (en) Method of fabricating inkjet printhead having planar nozzle plate
US7976132B2 (en) Printhead having moving roof structure and mechanical seal
CA2675856C (en) Method of fabricating printhead having hydrophobic ink ejection face
US20100271430A1 (en) Printhead provided with individual nozzle enclosures
US8425004B2 (en) Printhead having polymer incorporating nanoparticles coated on ink ejection face
US20090078675A1 (en) Method of removing photoresist
WO2011022749A1 (en) Method of removing photoresist and etch-residues from vias
US8491803B2 (en) Method of hydrophobizing and patterning frontside surface of integrated circuit
JP2005231116A (en) Liquid ejecting head and its manufacturing method
US20110049091A1 (en) Method of removing photoresist and etch-residues from vias
EP2490898B1 (en) Printhead having polysilsesquioxane coating on ink ejection face
WO2009039551A1 (en) Method of removing photoresist
US20110018937A1 (en) Printhead having ink ejection face complementing ink or other features of printhead
TW201107906A (en) Method of removing photoresist and etch-residues from vias
JP2008529848A (en) Inkjet printhead having separated nozzles
US8342650B2 (en) Printhead having polysilsesquioxane coating on ink ejection face
WO2009052543A1 (en) Method of fabricating inkjet printhead having planar nozzle plate
JP2010052303A (en) Recording head and method of manufacturing the same
WO2006105571A1 (en) Method of hydrophobically coating a printhead

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200980160135.1

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 09848584

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 2012516433

Country of ref document: JP

ENP Entry into the national phase

Ref document number: 20127004947

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 09848584

Country of ref document: EP

Kind code of ref document: A1