WO2011049811A2 - Point-of-use silylamine generation - Google Patents

Point-of-use silylamine generation Download PDF

Info

Publication number
WO2011049811A2
WO2011049811A2 PCT/US2010/052643 US2010052643W WO2011049811A2 WO 2011049811 A2 WO2011049811 A2 WO 2011049811A2 US 2010052643 W US2010052643 W US 2010052643W WO 2011049811 A2 WO2011049811 A2 WO 2011049811A2
Authority
WO
WIPO (PCT)
Prior art keywords
silylamine
tsa
sih
precursor
plasma
Prior art date
Application number
PCT/US2010/052643
Other languages
French (fr)
Other versions
WO2011049811A3 (en
Inventor
Nicolay Y. Kovarsky
Dmitry Lubomirsky
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2011049811A2 publication Critical patent/WO2011049811A2/en
Publication of WO2011049811A3 publication Critical patent/WO2011049811A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/082Compounds containing nitrogen and non-metals and optionally metals
    • C01B21/087Compounds containing nitrogen and non-metals and optionally metals containing one or more hydrogen atoms
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

The production and delivery of a reaction precursor containing one or more silylamines near a point of use is described. Silylamines may include trisilylamine (TSA) but also disilylamine (DSA) and monosilylamine (MSA). Mixtures involving two or more silylamines can change composition (e.g. proportion of DSA to TSA) over time. Producing silylamines near a point-of-use limits changing composition, reduces handling of unstable gases and reduces cost of silylamine-consuming processes.

Description

POINT-OF-USE SILYLAMINE GENERATION
CROSS-REFERENCES TO RELATED APPLICATIONS This application is a PCT application of U.S. Patent Application No. 12/901,979 filed October 11, 2010, titled "POINT-OF-USE SILYLAMINE GENERATION," and claims benefit of U.S. Provisional Patent Application No. 61/253,719 filed October 21, 2009, titled "TSA AND DSA GENERATION AND PROPORTION CONTROL," the entire contents of which are herein incorporated by reference for all purposes.
BACKGROUND OF THE INVENTION
Silicon nitride and other silicon-and-nitrogen-containing films have been used as barrier layers and provide resistance to diffusion, oxidation, etch and chemical mechanical polishing. These films can also be used to form passivation layers above device layers. The high dielectric constant and density also provide benefits for applications involving gapfill as well as the formation of gate dielectric layers and optical waveguides. Deposition of silicon nitride and silicon oxynitride may involve a variety of plasma- based chemical vapor deposition (CVD) techniques including plasma-enhanced CVD
(PECVD) and high density plasma CVD (HDP-CVD). Most of these techniques involve exposing a substrate to separate silicon and nitrogen sources. Common silicon sources for plasma-based techniques include silane (SiH4) and disilane (Si2H6) while common nitrogen sources include ammonia (NH3) or even nitrogen (N2). These films may also be produced without a plasma using, e.g., low-pressure CVD (LPCVD). Halogenated silanes are typically used instead of silane to improve the deposition rate when no plasma is present in the deposition system. Other deposition techniques may employ a plasma to excite a nitrogen or oxygen-containing precursor and combine the resulting plasma effluents with an unexcited silicon-containing precursor to form a flowable film.
Reactive precursors which supply both silicon and nitrogen are available which also enable film growth without direct plasma excitation of the precursor. These reactive precursors include trisilylamine (N(SiH3)3) and disilylamine (N(SiH3)2H), each of which may be expensive to procure and/or transport. There is a need to address the cost, availability and safety of reactive precursors containing both silicon and nitrogen, These and other needs are addressed in the present application.
BRIEF SUMMARY OF THE INVENTION
The production and delivery of a reaction precursor containing one or more silylamines near a point of use is described. Silylamines may include trisilylamine (TSA) but also the less stable disilylamine (DSA) and monosilylamine (MSA). Mixtures involving two or more silylamines can change composition (e.g. proportion of DSA to TSA) over time. Producing silylamines near a point-of-use limits changing composition, reduces handling of unstable gases and reduces cost of silylamine-consuming processes. Embodiments of the invention include methods of generating a silylamine- containing precursor near a point-of-use. The methods include synthesizing the silylamine- containing precursor proximal to a substrate processing region and reacting the silylamine- containing precursor to form a film on a substrate within the substrate processing region.
Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the invention. The features and advantages of the invention may be realized and attained by means of the instrumentalities,
combinations, and methods described in the specification.
BRIEF DESCRIPTION OF THE DRAWINGS
A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings wherein like reference numerals are used throughout the several drawings to refer to similar components. In some instances, a sublabel is associated with a reference numeral and follows a hyphen to denote one of multiple similar components. When reference is made to a reference numeral without specification to an existing sublabel, it is intended to refer to all such multiple similar components.
FIG. 1 is a flowchart illustrating selected operations for forming a film using point- of-use generated precursor according to disclosed embodiments.
FIG. 2 shows a substrate processing system according to embodiments of the invention. FIG. 3A shows a substrate processing chamber according to embodiments of the invention.
FIG. 3B shows a showerhead of a substrate processing chamber according to embodiments of the invention. DETAILED DESCRIPTION OF THE INVENTION
The production and delivery of a reaction precursor containing one or more silylamines near a point of use is described. Silylamines may include trisilylamine (TSA) but also the disilylamine (DSA) and monosilylamine (MSA). Mixtures involving two or more silylamines can change composition (e.g. proportion of DSA to TSA) over time. Producing silylamines near a point-of-use limits changing composition, reduces handling of unstable gases and reduces cost of silylamine-consuming processes.
In order to better understand and appreciate the invention, reference is now made to FIG. 1 which is a flowchart illustrating selected operations (100) for forming a film using point-of-use generated precursor according to disclosed embodiments. A substrate is transferred into a reaction region (operation 102) and ammonia is reacted with
monochlorosilane to produce a trisilylamine (TSA) precursor near the reaction region (operation 104). The reaction producing TSA takes place at or below room temperature in embodiments of the invention and produces ammonia chloride (NH4C1) by-product in the reaction cell. The TSA precursor may include some other components including disilylamine (DSA). A concentration of DSA, if present in the TSA precursor, typically will attenuate since DSA turns into TSA over time. The TSA precursor may be separated from the ammonia chloride by-product by filtration or centrifugation. The TSA precursor may be used shortly after its production or, alternatively, the TSA precursor may be stored for longer periods of time in a holding tank. Either way, the TSA precursor is flowed into the reaction region to form a silicon-nitride -hydride film on the substrate (operation 108). The substrate is then removed from the reaction region (operation 110).
The duration between generation and reaction of the TSA precursor is variable, therefore the order of operations 102 and 104 is selectable. Operation 102 precedes operation 104 in embodiments of the invention, while operation 104 precedes operation 102 in others. The TSA precursor may be formed based on the reaction between a
monochlorosilane and ammonia as shown in the following chemical reaction:
3SiH3Cl + 4NH3→ (SiH3)3N + 3NH4C1 (s) This exemplary reaction may proceed in gas and/or liquid phases over a wide temperature range (from about -80°C to about room temperature). A reaction cell is a compartment used to house the reaction which synthesizes the TSA precursor. A separate gas holding tank may be used to receive and hold the TSA precursor, in embodiments of the invention, after synthesis and before the TSA precursor is delivered to the substrate processing region. Alternatively, the holding tank and the reaction cell may be one and the same, in other words, the synthesis of the TSA precursor may occur in the same tank used to contain the TSA precursor after the separation from NH3Cl/oligomers but prior to delivery into the substrate processing region. The TSA may also be separated from NH3Cl/oligomers and then condensed into a liquid holding vessel to separate TSA from other gases (e.g. NH3).
The yield of TSA may be increased to about 80% or more by ensuring reagents and reaction cell are pure and dry (essentially devoid of water content). The presence of water can decompose silane and silyl groups. The synthesis reaction forms solid ammonium chloride, TSA and some other products (e.g low-volatility oligomers [-SiH2-NH-]n as well as disilylamine (i.e. (SiH3)3NH or DSA). DSA is more unstable than TSA and converts to TSA in time by releasing NH3:
3(SiH3)2NH→ 2(SiH3)3N + NH3
Oligomers of the form (SiH2NH)n may also be produced by the decomposition of the DSA precursor, in embodiments. The production of oligomers during synthesis of TSA is typically undesirable since their production consumes a portion of the SiH3Cl supply but produces silane gas (SiH4) rather than a silylamine such as TSA or DSA: n(SiH3)2NH→ 1/n [SiH2NH]n + nSiH4
The undesirable production of oligomers during synthesis of TSA can be reduced (or even substantially eliminated) by ensuring a small excess (2-5 %) of SiH3Cl in the stoichiometric SiH3Cl-NH3 gas mixture. Performing TSA precursor synthesis at relatively low temperatures (e.g., between-60°C and -20°C) and/or pressures (1-100 Torr) may also reduce the formation of oligomers. Lastly, adding an inert gas in the reaction vessel (Ar, N2, He, H2) or using organic solvents (toluene, TGF etc) can also reduce oligomer formation, in embodiments of the invention. These techniques can be used alone or in combination with any number of the other techniques to further reduce the formation of oligomers.
For SiH3Cl:4NH3 volume ratios of about three to four (e.g. (3.05-3.1):4), a slight excess of SiH3Cl is available for the reaction and essentially only one silicon containing product is produced, namely TSA. Reducing the volume ratio below three to four, the reaction proceeds with excess of ammonia and DSA, MSA, SiH4 and Si-N-H oligomers are also produced in a small amount. NH4C1 and oligomer particles may then be separated by filtering or other means to produce a gas mixture containing mainly TSA (e.g. >80%) and other gases (NH3, DSA,MSA). The TSA and other gases can be directly used by delivering into the substrate processing region. Altering the S1H3CI to NH3 input ratio into the synthesis reaction cell allows the final gas composition to be selected (e.g. the DSA/MSA ratio may be selected). The amount of DSA and MSA in the synthesized product may be about a few % or less in embodiments of the invention. Even these small quantities are large enough to impact and therefore improve the control of the properties and flowability of Si-N-H CVD films.
It is also possible to increase amount of DSA in the gas product by adding a dihalogen-silane (preferably S1H2O2) to the reaction cell (containing S1H3CI and NH3) or by using SiH2Cl2 instead of S1H3CI. The conditions required for the synthesis reaction of S1H2CI2 and NH3 in the reaction cell may be different from those for the SiH3Cl and NH3 reaction. The S1H2O2 and NH3 reaction may benefit from the presence of a catalyst and/or a higher reaction temperature.
Following the formation of the gaseous TSA precursor, the gases may be separated from the solid NH4C1 deposit by passing the combination through a suitable filter or processing the combination in a centrifuge. TSA may subsequently be extracted from the gaseous mixture by a low temperature condensation-distillation technique, in embodiments of the invention. The extraction process may take advantage of a difference in boiling points, melting points and/or vapor pressure of the gas components. TSA readily condenses at low temperatures (e.g. between -100°C and -78°C) under vacuum. The partial pressure of TSA near its melting point of -105°C is low (around 0.01 Torr) and facilitates the separation of TSA from the other, more volatile, components. Other components (NH3, SiH4, SiH3Cl) remain in the gas phase and are preferentially exhausted from the system. For example NH3 has a melting point of -77°C and a vapor pressure that exceeds the vapor pressure of TSA by a factor of about 300 at a processing temperature of about -100°C. It may be unnecessary to completely separate NH3 from TSA, in embodiments of the invention, since NH3 is combined with TSA in some CVD processes used to process substrates. In these CVD processes, a small content of NH3 (1-5%) in TSA may be easily tolerated, especially when the TSA precursor is synthesized shortly before consumption.
The separation of TSA from other gases is easier in a closed system where partial pressure of TSA can be increased to between 2 and 20 Torr. Silane, ammonia and monochlorosilane are present in the gas phase between -60°C and -30°C, allowing TSA to be condensed and separated. Gaseous SiH3Cl and NH3 convert into liquid TSA which occupies a very small volume compared with the initial volume of gases. This enables a large amount of liquid TSA product to be accumulated without significantly decreasing the volume available for additional synthesis by way of gas-phase reactions. The reduced effect on volume allows the progress of the reaction to be controlled by maintaining a relatively constant stoichiometry and pressure in the reactor.
As alluded to previously, Monochlorosilane is not the only precursor which can be combined with ammonia to produce the TSA precursor. More generally speaking, the TSA precursor may be formed based on the reaction between ammonia and a halogenated silane such as a monohalosilane (e.g. monochlorosilane SiH3Cl, monobromosilane SiH3Br or monoiodosilane SiH3I) and ammonia NH3. The halogenated silane is preferably SiH3Cl. The halogenated silane may also be a di-halogenated silane such as di-chlorosilane SiH2Cl2, di- bromosilane SiH2Br2 and di-iodosilane SiH2I2 in embodiments of the invention. Di- halogenated silanes do not directly produce TSA but can replace or augment a flow of a monohalogenated silane(s) to increase the yield of DSA and/or MSA. The cost of the halogenated silane will help determine which precursor(s) to include in the synthesizing reaction to produce the TSA precursor. Costs may change and, therefore, so may the preferred halogenated silane to use in the synthesis of the TSA precursor. Process parameters may require adjustment when switching among halogenated silanes or to a new mixture of halogenated silanes. A wide range of process parameters, including pressure, temperature, type and concentration of reagents, reagent ratios, flows, catalysts etc) can be used to get TSA of desired amount and purity.
The synthesis reaction has been predominantly described as producing a TSA precursor. More generally speaking, the synthesis of the reaction precursor comprises at least one of TSA, disilylamine (SiH3)2NH (i.e., DSA) and monosilylamine (SiH3)NH2 (i.e., MSA) and will be referred to herein as a silylamine-containing precursor. The synthesis of silylamine-containing precursor occurs near the point of use and may occur within one meter or ten meters of the point of use. At least some of the synthesis occurs within these distances, in some embodiments, while the entire synthesis (i.e., conversion to silylamine-containing precursor) occurs within these distances in others.
Substrates processed according to the methods disclosed herein may have semiconducting material and may be silicon wafers, for example. The substrates may have relatively trenches which are filled by a flowable film formed using the synthesized silylamine-containing precursors formed near the point-of-use. The trenches may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1 : 1 (e.g., 5: 1 or more, 6: 1 or more, 7: 1 or more, 8: 1 or more, 9: 1 or more, 10: 1 or more, 11 : 1 or more, 12: 1 or more, etc.). In many instances the high AR is due to small gap widths below 65 nm, 45 nm, 35 nm, 25 nm, 20 nm or 15 nm. Additional process parameters and operations will be introduced in the course of describing an exemplary substrate processing system which utilizes a silylamine precursor synthesized near the processing system (i.e. the point of use).
Exemplary Silicon Oxide Deposition System Deposition chambers that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD
chambers/systems, and PRODUCER® PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, Calif.
Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S.
Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL," the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes. Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 2 shows one such system 200 of deposition, baking and curing chambers according to disclosed embodiments. In the figure, a pair of FOUPs (front opening unified pods) 202 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 204 and placed into a low pressure holding area 206 before being placed into one of the wafer processing chambers 208a-f. A second robotic arm 210 may be used to transport the substrate wafers from the holding area 206 to the processing chambers 208a-f and back. The processing chambers 208a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber (e.g., 208c-d and 208e-f) may be used to deposit the flowable dielectric material on the substrate, and the third pair of processing chambers (e.g., 208a-b) may be used to anneal the deposited dielectic. In another configuration, the same two pairs of processing chambers (e.g., 208c-d and 208e-f) may be configured to both deposit and anneal a flowable dielectric film on the substrate, while the third pair of chambers (e.g., 208a-b) may be used for UV or E-beam curing of the deposited film. In still another configuration, all three pairs of chambers (e.g., 208a-f) may be configured to deposit and cure a flowable dielectric film on the substrate. In yet another configuration, two pairs of processing chambers (e.g., 208c-d and 208e-f) may be used for both deposition and UV or E-beam curing of the flowable dielectric, while a third pair of processing chambers (e.g. 208a-b) may be used for annealing the dielectric film. Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in different embodiments.
In addition, one or more of the process chambers 208a-f may be configured as a wet treatment chamber. These process chambers include heating the flowable dielectric film in an atmosphere that include moisture. Thus, embodiments of system 200 may include wet treatment chambers 208a-b and anneal processing chambers 208c-d to perform both wet and dry anneals on the deposited dielectric film.
FIG. 3A is a substrate processing chamber 300 according to disclosed embodiments. A remote plasma system (RPS) 310 may process a gas which then travels through a gas inlet assembly 311. Two distinct gas supply channels are visible within the gas inlet assembly 311. A first channel 312 carries a gas that passes through the remote plasma system RPS 310, while a second channel 313 bypasses the RPS 300. The first channel 302 may be used for the process gas and the second channel 313 may be used for a treatment gas in disclosed embodiments. The lid (or conductive top portion) 321 and a perforated partition 353 are shown with an insulating ring 324 in between, which allows an AC potential to be applied to the lid 321 relative to perforated partition 353. The process gas travels through first channel 312 into chamber plasma region 320 and may be excited in a plasma in chamber plasma region 320 alone or in combination with RPS 310. Either region alone or the combination of chamber plasma region 320 and RPS 310 may be referred to as a remote plasma system herein. The perforated partition (also referred to as a showerhead) 353 separates chamber plasma region 320 from a substrate processing region 370 beneath showerhead 353. Showerhead 353 allows a plasma present in chamber plasma region 320 to avoid directly exciting gases in substrate processing region 370, while still allowing excited species to travel from chamber plasma region 320 into substrate processing region 370.
Showerhead 353 is positioned between chamber plasma region 320 and substrate processing region 370 and allows plasma effluents (excited derivatives of precursors or other gases) created within chamber plasma region 320 to pass through a plurality of through holes 356 that traverse the thickness of the plate. The showerhead 353 also has one or more hollow volumes 351 which can be filled with a precursor in the form of a vapor or gas (such as a silylamine-containing precursor) and pass through small holes 355 into substrate processing region 370 but not directly into chamber plasma region 320. Showerhead 353 is thicker than the length of the smallest diameter 350 of the through-holes 356 in this disclosed
embodiment. In order to maintain a significant concentration of excited species penetrating from chamber plasma region 320 to substrate processing region 370, the length 326 of the smallest diameter 350 of the through-holes may be restricted by forming larger diameter portions of through-holes 356 part way through the showerhead 353. The length of the smallest diameter 350 of the through-holes 356 may be the same order of magnitude as the smallest diameter of the through-holes 356 or less in disclosed embodiments.
In the embodiment shown, showerhead 353 may distribute (via through holes 356) process gases which contain oxygen, hydrogen and/or nitrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 320. In
embodiments, process gases excited in RPS 310 and/or chamber plasma region 320 include ammonia (NH3) and nitrogen (N2) and/or hydrogen (H2). Generally speaking, the process gas introduced into the RPS 310 and/or chamber plasma region 320 through first channel 312 may contain one or more of oxygen (02), ozone (03), N20, NO, N02, NH3, NxHy including N2H4, silane, disilane, TSA and DSA. The process gas may also include a carrier gas such as helium, argon, nitrogen (N2), etc. The second channel 313 may also deliver a process gas and/or a carrier gas, and/or a film-curing gas used to remove an unwanted component from the growing or as-deposited film. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-oxygen precursor and/or a radical-nitrogen precursor referring to the atomic constituents of the process gas introduced.
In embodiments, the number of through-holes 356 may be between about 60 and about 2000. Through-holes 356 may have a variety of shapes but are most easily made round. The smallest diameter 350 of through holes 356 may be between about 0.5 mm and about 20 mm or between about 1mm and about 6mm in disclosed embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or a combination of the two shapes. The number of small holes 355 used to introduce a gas into substrate processing region 370 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments. The diameter of the small holes 355 may be between about 0.1 mm and about 2 mm.
FIG. 3B is a bottom view of a showerhead 353 for use with a processing chamber according to disclosed embodiments. Showerhead 353 corresponds with the showerhead shown in FIG. 3A. Through-holes 356 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 353 and a smaller ID at the top. Small holes 355 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 356 which helps to provide more even mixing than other embodiments described herein.
An exemplary film is created on a substrate supported by a pedestal (not shown) within substrate processing region 370 when plasma effluents arriving through through-holes 356 in showerhead 353 combine with a silylamine-containing precursor arriving through the small holes 355 originating from hollow volumes 351. Though substrate processing region 370 may be equipped to support a plasma for other processes such as curing, no plasma is present during the growth of the exemplary film.
In embodiments employing a chamber plasma region, the radical-nitrogen precursor is generated in a section of the substrate processing system partitioned from a substrate processing region where the precursors mix and react to deposit the silicon-and-nitrogen layer on a deposition substrate (e.g., a semiconductor wafer). The radical-nitrogen precursor may also be accompanied by a carrier gas such as helium, argon etc. The substrate processing region may be described herein as "plasma-free" during the growth of the silicon-and- nitrogen-containing layer and during the low temperature ozone cure. "Plasma-free" does not necessarily mean the region is devoid of plasma. Ionized species created within the plasma region do travel through pores (apertures) in the partition (showerhead) but the silylamine- containing precursor is not substantially excited by the plasma power applied to the plasma region in embodiments of the invention. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma (ICP), a small amount of ionization may be effected within the substrate processing region directly.
Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating the flowable nature of the forming film. Plasmas in the substrate processing region having much lower ion density than the chamber plasma region during the creation of the radical nitrogen precursor do not deviate from the scope of "plasma- free" as used herein.
In the substrate processing region, the silylamine-containing precursor and the radical-nitrogen precursor mix and react to form a silicon-and-nitrogen-containing film on the deposition substrate (operation 108). The deposited silicon-and-nitrogen-containing film may deposit conformally with recipe combinations which result in low deposition rates or high radical nitrogen fluxes at the deposition surface. In other embodiments, the deposited silicon- and-nitro gen-containing film has flowable characteristics unlike conventional silicon nitride (S13N4) film deposition techniques. The flowable nature of the formation allows the film to flow into narrow gaps trenches and other structures on the deposition surface of the substrate. The temperature of the substrate during deposition (operation 108) is less than 120°C, less than 100°C, less than 80°C and less than 60°C in different embodiments.
The flowability may be due to a variety of properties which result from mixing a radical-nitrogen precursors with the unexcited silylamine-containing precursor. These liquid- like properties may include a significant hydrogen component in the deposited film and/or the presence of short chained linear and/or branched polysilazane polymers. A higher ratio of linear to branched chains lowers the initial viscosity of a polysilazane film and slows the solidification of the film. TSA tends to form branched chains while DSA tends to form linear chains. These short chains grow and network, so the liquid- like film converts into more dense dielectric material during and after the formation of the film. For example the deposited film may have a silazane-type, Si-NH-Si backbone (i.e., a Si-N-H film). When both the silicon-containing precursor and the radical-nitrogen precursor are carbon-free, the deposited silicon-and-nitrogen-containing film is also substantially carbon-free. Lack of carbon decreases shrinkage during subsequent processing steps, such as curing and annealing. Of course, "carbon-free" does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen precursor. The amount of these carbon impurities however are much less than would be found in a silicon-containing precursor having a carbon moiety (e.g., TEOS, TMDSO, etc.). Methods described herein may include forming a flowable film on a substrate comprising a gap. The substrate may have a plurality of gaps for the spacing and structure of device components (e.g., transistors) formed on the substrate. The gaps may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1 : 1 (e.g., 5: 1 or more, 6: 1 or more, 7: 1 or more, 8: 1 or more, 9: 1 or more, 10: 1 or more, 11 : 1 or more, 12: 1 or more, etc.). In many instances the high AR is due to small gap widths of that range from about 90 nm to about 22 nm or less (e.g., about 90 nm or less, 65 nm or less, 45 nm or less, 32 nm or less, 28 nm or less, 22 nm or less, 16 nm or less, etc.). A plasma may be ignited either in chamber plasma region 320 above showerhead
353 or substrate processing region 370 below showerhead 353. A plasma is present in chamber plasma region 320 to produce the radical nitrogen precursor from an inflow of a nitrogen-and-hydrogen-containing gas. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion 321 of the processing chamber and showerhead 353 to ignite a plasma in chamber plasma region 320 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 370 is turned on to either cure a film or clean the interior surfaces bordering substrate processing region 370. A plasma in substrate processing region 370 is ignited by applying an AC voltage between showerhead 353 and the pedestal or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 370 while the plasma is present.
The pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from room temperature through about 120°C). The heat exchange fluid may comprise ethylene glycol and water. The wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistively heated in order to achieve relatively high temperatures (from about 120°C through about 1100°C) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal. The substrate processing system is controlled by a system controller. In an exemplary embodiment, the system controller includes a hard disk drive, a floppy disk drive and a processor. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
The system controller controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer-readable medium. Preferably, the medium is a hard disk drive, but the medium may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to instruct the system controller.
A process for depositing a film stack on a substrate or a process for cleaning a chamber can be implemented using a computer program product that is executed by the system controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal,
Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of
precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
The interface between a user and the controller is via a flat-panel touch-sensitive monitor. In the preferred embodiment two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The two monitors may simultaneously display the same information, in which case only one accepts input at a time. To select a particular screen or function, the operator touches a designated area of the touch-sensitive monitor. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the operator and the touch- sensitive monitor. Other devices, such as a keyboard, mouse, or other pointing or
communication device, may be used instead of or in addition to the touch-sensitive monitor to allow the user to communicate with the system controller. As used herein "substrate" may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. A layer of "silicon oxide" may include minority concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. A gas in an "excited state" describes a gas wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A gas may be a combination of two or more gases. The term "trench" is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. The term "via" is used to refer to a low aspect ratio trench which may or may not be filled with metal to form a vertical electrical connection. The term "precursor" is used to refer to any process gas which takes part in a reaction to either remove or deposit material from a surface.
Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention. Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included. As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a process" includes a plurality of such processes and reference to "the precursor" includes reference to one or more precursor and equivalents thereof known to those skilled in the art, and so forth. Also, the words "comprise," "comprising," "include," "including," and "includes" when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

WHAT IS CLAIMED IS:
1. A method of generating a silylamine-containing precursor near a point- of-use, the method comprising:
synthesizing the silylamine-containing precursor proximal to a substrate processing region; and
reacting the silylamine-containing precursor to form a film on a substrate within the substrate processing region.
2. The method of claim 1 wherein the substrate comprises a semiconducting material.
3. The method of claim 1 wherein the substrate comprises a trench which is substantially filled by the film.
4. The method of claim 1 wherein the silylamine-containing precursor comprises TSA.
5. The method of claim 1 wherein the silylamine-containing precursor comprises at least one of the group of precursors consisting of TSA, DSA and MSA.
6. The method of claim 1 wherein the silylamine-containing precursor comprises both TSA and DSA.
7. The method of claim 1 wherein the silylamine-containing precursor is synthesized within ten meters of the substrate processing region.
8. The method of claim 1 wherein the silylamine-containing precursor is synthesized within one meter of the substrate processing region.
9. The method of claim 1 wherein the operation of synthesizing the silylamine-containing precursor comprises reacting ammonia with a halogenated silane to form the silylamine in the silylamine-containing precursor.
10. The method of claim 1 wherein the film is a silicon-and-nitrogen- containing layer.
11. The method of claim 1 wherein the film is flowable shortly after deposition.
12. The method of claim 10 wherein the silicon-and-nitrogen-containing layer is subsequently converted to silicon oxide.
13. The method of claim 9 wherein the halogenated silane is monochlorosilane.
14. The method of claim 9 wherein the halogenated silane is a mono- halogenated silane selected from SiH3Cl, SiH3Br and SiH3I.
15. The method of claim 9 wherein the halogenated silane is a di- halogenated silane selected from SiH2Cl2, SiH2Br2 and SiH2I2.
16. The method of claim 9 wherein the halogenated silane is a halogenated polysilane comprising more than one silicon atom.
PCT/US2010/052643 2009-10-21 2010-10-14 Point-of-use silylamine generation WO2011049811A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US25371909P 2009-10-21 2009-10-21
US61/253,719 2009-10-21
US12/901,979 US20110136347A1 (en) 2009-10-21 2010-10-11 Point-of-use silylamine generation
US12/901,979 2010-10-11

Publications (2)

Publication Number Publication Date
WO2011049811A2 true WO2011049811A2 (en) 2011-04-28
WO2011049811A3 WO2011049811A3 (en) 2011-07-14

Family

ID=43900892

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2010/052643 WO2011049811A2 (en) 2009-10-21 2010-10-14 Point-of-use silylamine generation

Country Status (3)

Country Link
US (1) US20110136347A1 (en)
TW (1) TW201120236A (en)
WO (1) WO2011049811A2 (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012156191A1 (en) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Method for producing trisilylamine in the gas phase
WO2013004423A1 (en) 2011-07-06 2013-01-10 Evonik Degussa Gmbh Process for the preparation of trisilylamine from monochlorosilane and ammonia
WO2013087298A1 (en) 2011-12-16 2013-06-20 Evonik Industries Ag Method for producing trisilylamine from chlorosilane and ammonia using an inert solvent
WO2014023470A1 (en) * 2012-08-10 2014-02-13 Evonik Industries Ag Process for coupled preparation of polysilazanes and trisilylamine
US20140050647A1 (en) * 2011-10-07 2014-02-20 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
WO2014181194A3 (en) * 2013-03-28 2015-03-26 L'air Liquide Societe Anonyme Pour I'etude Et L'exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
EP2812279A4 (en) * 2012-02-10 2015-10-07 L Air Liquide Société Anonyme Pour L Etude Et L Expl Des Procédés Georges Claude Liquid phase synthesis of trisilylamine
CN105358478A (en) * 2013-05-27 2016-02-24 赢创德固赛有限公司 Method for the coupled production of trisilylamine and polysilazanes having a molar mass of up to 500 g/mol
US9701540B2 (en) 2011-10-07 2017-07-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Apparatus and method for the condensed phase production of trisilylamine
CN107429391A (en) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 Compositions and methods for depositing silicon-containing films using the same

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102687252A (en) * 2009-12-30 2012-09-19 应用材料公司 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (en) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
JP5623296B2 (en) * 2010-01-15 2014-11-12 信越化学工業株式会社 Method for producing trisilylamine
CN102844848A (en) 2010-03-05 2012-12-26 应用材料公司 Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) * 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
KR101432606B1 (en) * 2011-07-15 2014-08-21 제일모직주식회사 Filler for filling a gap, method for preparing this and method for manufacturing semiconductor capacitor using the same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
SG11201401185VA (en) * 2011-10-07 2014-04-28 Voltaix Inc Apparatus and method for the condensed phase production of trisilylamine
US9617155B2 (en) 2011-12-16 2017-04-11 Evonik Degussa Gmbh Production of trisilylamine from monochlorosilane and ammonia by use of inert solvent
TWI496932B (en) * 2012-03-09 2015-08-21 Air Prod & Chem Barrier materials for display devices
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9284198B2 (en) 2013-06-28 2016-03-15 Air Products And Chemicals, Inc. Process for making trisilylamine
DE102014204785A1 (en) 2014-03-14 2015-09-17 Evonik Degussa Gmbh Process for the preparation of pure trisilylamine
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017106615A1 (en) * 2015-12-18 2017-06-22 Dow Corning Corporation Synthesis of disilanylamines and polysilanylamines
KR102458309B1 (en) 2015-12-28 2022-10-24 삼성전자주식회사 Method of forming a SiOCN material layer and method of fabricating a semiconductor device
US10790140B2 (en) 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
CN111295462A (en) 2017-10-12 2020-06-16 盖列斯特科技股份有限公司 Method and system for integrated synthesis, delivery, and processing of source chemicals in thin film manufacturing
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070134433A1 (en) * 2002-09-25 2007-06-14 Christian Dussarrat Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
US20080260969A1 (en) * 2004-08-20 2008-10-23 Christian Dussarrat Method for Producing Silicon Nitride Films

Family Cites Families (96)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (en) * 1986-01-21 1994-01-28 Seiko Epson Corp MINERAL PROTECTIVE FILM
US4910043A (en) * 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
JPH03257182A (en) * 1990-03-07 1991-11-15 Hitachi Ltd Surface processing device
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
KR930009549B1 (en) * 1990-11-28 1993-10-06 현대전자산업 주식회사 High resistivity attaining method of poly-silicon
US5393708A (en) * 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
FR2759362B1 (en) * 1997-02-10 1999-03-12 Saint Gobain Vitrage TRANSPARENT SUBSTRATE EQUIPPED WITH AT LEAST ONE THIN LAYER BASED ON SILICON NITRIDE OR OXYNITRIDE AND ITS PROCESS FOR OBTAINING IT
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (en) * 1999-11-12 2001-05-25 Sony Corp Method of manufacturing nitride iii-v compound semiconductor and semiconductor device
FI118804B (en) * 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (en) * 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
TW533489B (en) * 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (en) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 Excited gas forming apparatus, processing apparatus and processing method
JP3712356B2 (en) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド Film-forming method and semiconductor device manufacturing method
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (en) * 2001-07-13 2004-03-04 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) * 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (en) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 Film forming method and film forming apparatus
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
JP4396547B2 (en) * 2004-06-28 2010-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) * 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (en) * 2004-09-07 2006-02-08 삼성전자주식회사 Method for forming a layer in a semiconductor device and apparatus for performing the same
KR100782369B1 (en) * 2004-11-11 2007-12-07 삼성전자주식회사 Device for making semiconductor
JP4860953B2 (en) * 2005-07-08 2012-01-25 富士通株式会社 Silica-based film forming material, silica-based film and manufacturing method thereof, multilayer wiring and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) * 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (en) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト Manufacturing method of organic siloxane insulating film, and manufacturing method of liquid crystal display device using organic siloxane insulating film manufactured by this manufacturing method as interlayer insulation
JP4618178B2 (en) * 2006-03-27 2011-01-26 オムロン株式会社 Terminal and manufacturing method thereof
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
US7737050B2 (en) * 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
KR100866143B1 (en) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 Method for forming isolation layer of semiconductor device
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR101681897B1 (en) * 2009-08-07 2016-12-05 어플라이드 머티어리얼스, 인코포레이티드 Dual temperature heater
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG182333A1 (en) * 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US9285168B2 (en) * 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (en) * 2010-10-14 2014-08-06 株式会社東芝 Manufacturing method of semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070134433A1 (en) * 2002-09-25 2007-06-14 Christian Dussarrat Methods for producing silicon nitride films and silicon oxynitride films by thermal chemical vapor deposition
US20080260969A1 (en) * 2004-08-20 2008-10-23 Christian Dussarrat Method for Producing Silicon Nitride Films
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
DUSSARRAT, C. ET AL.: 'LOW PRESSURE CHEMICAL VAPOR DEPOSITION OF SILICON NITRIDE USING MONO- AND DISILYLAMINE' THE 203RD MEETING PROCEEDING OF ECS 27 April 2003, PARIS, FRANCE, *
vol. 7 1988, VCH PUBLISHERS, INC., NEW YORK, ISBN 0-89573-250-5 article ZUCKEMAN, J. J.: 'Inorganic Reactions and Methods. The formation of bonds to N, P, As, Sb, Bi-Volume 7', pages 76 - 77 *

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014522366A (en) * 2011-05-17 2014-09-04 エボニック デグサ ゲーエムベーハー Method for producing trisilylamine in the gas phase
TWI485101B (en) * 2011-05-17 2015-05-21 Evonik Degussa Gmbh Process for preparing trisilylamine in the gas phase
WO2012156191A1 (en) * 2011-05-17 2012-11-22 Evonik Degussa Gmbh Method for producing trisilylamine in the gas phase
WO2013004423A1 (en) 2011-07-06 2013-01-10 Evonik Degussa Gmbh Process for the preparation of trisilylamine from monochlorosilane and ammonia
DE102011078749A1 (en) 2011-07-06 2013-01-10 Evonik Degussa Gmbh Process for the preparation of trisilylamine from monochlorosilane and ammonia
US9656869B2 (en) 2011-07-06 2017-05-23 Evonik Degussa Gmbh Process for the preparation of trisilylamine from monochlorosilane and ammonia
JP2014522822A (en) * 2011-07-06 2014-09-08 エボニック デグサ ゲーエムベーハー Method for producing trisilylamine from monochlorosilane and ammonia
US20140161705A1 (en) * 2011-07-06 2014-06-12 Evonik Degussa Bmbh Process for the preparation of trisilylamine from monochlorosilane and ammonia
US20140050647A1 (en) * 2011-10-07 2014-02-20 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
US9446958B2 (en) 2011-10-07 2016-09-20 L'Air Liquide Societe Anonyme L'Etude Et L'Exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
US9701540B2 (en) 2011-10-07 2017-07-11 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Apparatus and method for the condensed phase production of trisilylamine
KR101642206B1 (en) * 2011-12-16 2016-07-22 에보닉 인두스트리에스 아게 Method for producing trisilylamine from chlorosilane and ammonia using an inert solvent
DE102011088814A1 (en) 2011-12-16 2013-06-20 Evonik Industries Ag Process for the preparation of trisilylamine from monochlorosilane and ammonia using inert solvent
US9120673B2 (en) 2011-12-16 2015-09-01 Evonik Industries Ag Production of trisilylamine from monochlorosilane and ammonia by use of inert solvent
US20150259207A1 (en) * 2011-12-16 2015-09-17 Evonik Industries Ag Production of trisilylamine from monochlorosilane and ammonia by use of inert solvent
US9359205B2 (en) 2011-12-16 2016-06-07 Evonik Degussa Gmbh Production of trisilylamine from monochlorosilane and ammonia by use of inert solvent
WO2013087298A1 (en) 2011-12-16 2013-06-20 Evonik Industries Ag Method for producing trisilylamine from chlorosilane and ammonia using an inert solvent
KR20140113907A (en) * 2011-12-16 2014-09-25 에보닉 인두스트리에스 아게 Method for producing trisilylamine from chlorosilane and ammonia using an inert solvent
EP2812279A4 (en) * 2012-02-10 2015-10-07 L Air Liquide Société Anonyme Pour L Etude Et L Expl Des Procédés Georges Claude Liquid phase synthesis of trisilylamine
WO2014023470A1 (en) * 2012-08-10 2014-02-13 Evonik Industries Ag Process for coupled preparation of polysilazanes and trisilylamine
WO2014181194A3 (en) * 2013-03-28 2015-03-26 L'air Liquide Societe Anonyme Pour I'etude Et L'exploitation Des Procedes Georges Claude Apparatus and method for the condensed phase production of trisilylamine
CN105358478A (en) * 2013-05-27 2016-02-24 赢创德固赛有限公司 Method for the coupled production of trisilylamine and polysilazanes having a molar mass of up to 500 g/mol
CN105358478B (en) * 2013-05-27 2017-02-08 赢创德固赛有限公司 Method for the coupled production of trisilylamine and polysilazanes having a molar mass of up to 500 g/mol
CN107429391A (en) * 2014-10-24 2017-12-01 弗萨姆材料美国有限责任公司 Compositions and methods for depositing silicon-containing films using the same

Also Published As

Publication number Publication date
WO2011049811A3 (en) 2011-07-14
TW201120236A (en) 2011-06-16
US20110136347A1 (en) 2011-06-09

Similar Documents

Publication Publication Date Title
US20110136347A1 (en) Point-of-use silylamine generation
US8647992B2 (en) Flowable dielectric using oxide liner
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8304351B2 (en) In-situ ozone cure for radical-component CVD
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US8329262B2 (en) Dielectric film formation using inert gas excitation
US8563445B2 (en) Conformal layers by radical-component CVD
US20120083133A1 (en) Amine curing silicon-nitride-hydride films
US8445078B2 (en) Low temperature silicon oxide conversion
US20120238108A1 (en) Two-stage ozone cure for dielectric films
US20120177846A1 (en) Radical steam cvd
US20130217240A1 (en) Flowable silicon-carbon-nitrogen layers for semiconductor processing

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 10825434

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 10825434

Country of ref document: EP

Kind code of ref document: A2