WO2011109148A2 - Conformal layers by radical-component cvd - Google Patents

Conformal layers by radical-component cvd Download PDF

Info

Publication number
WO2011109148A2
WO2011109148A2 PCT/US2011/024378 US2011024378W WO2011109148A2 WO 2011109148 A2 WO2011109148 A2 WO 2011109148A2 US 2011024378 W US2011024378 W US 2011024378W WO 2011109148 A2 WO2011109148 A2 WO 2011109148A2
Authority
WO
WIPO (PCT)
Prior art keywords
nitrogen
silicon
precursor
conformal
containing layer
Prior art date
Application number
PCT/US2011/024378
Other languages
French (fr)
Other versions
WO2011109148A3 (en
Inventor
Jingmei Liang
Xiaolin Chen
Dongqing Li
Nitin K. Ingle
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/840,768 external-priority patent/US8741788B2/en
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2012556083A priority Critical patent/JP2013521650A/en
Priority to CN2011800187794A priority patent/CN102844848A/en
Priority to SG2012065074A priority patent/SG183873A1/en
Priority to KR1020127026126A priority patent/KR101853802B1/en
Publication of WO2011109148A2 publication Critical patent/WO2011109148A2/en
Publication of WO2011109148A3 publication Critical patent/WO2011109148A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Definitions

  • Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produces devices with 45 nm, 32 nm, and 28 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries.
  • the decreasing feature sizes result in structural features on the device having decreased spatial dimensions.
  • the widths of gaps and trenches on the device narrow to a point where the aspect ratio of gap depth to its width becomes high enough to make it challenging to fill the gap with dielectric material.
  • the depositing dielectric material is prone to clog at the top before the gap completely fills, producing a void or seam in the middle of the gap.
  • the surfaces inside the substrate gaps may not be wettable by the flowable dielectric material. This tends to happen when the underlying material is deposited at high temperature, for example.
  • a silicon-nitrogen-hydrogen (Si-N-H) film e.g., a silicon-nitrogen-hydrogen (Si-N-H) film
  • the carbon-free silicon-and-nitrogen precursor is predominantly excited by contact with the radical-nitrogen precursor.
  • the deposited silicon-and-nitrogen-containing film may be wholly or partially converted to silicon oxide which allows the optical properties of the conformal dielectric layer to be selectable.
  • the deposition of a thin silicon-and-nitrogen-containing film may be performed at low temperature to form a liner layer in a substrate trench. The low temperature liner layer has been found to improve the wetting properties and allows flowable films to more completely fill the trench.
  • Embodiments of the invention include methods of forming a conformal silicon-and-nitrogen- containing layer on a patterned substrate in a substrate processing region in a substrate processing chamber. These methods include mixing a carbon-free silicon-and-nitrogen- containing precursor with a radical-nitrogen precursor. The carbon-free silicon-and-nitrogen- containing precursor is predominantly excited by contact with the radical-nitrogen precursor. These methods further include depositing a conformal silicon-and-nitrogen-containing layer having a conformal layer thickness on the patterned substrate.
  • Embodiments of the invention further include methods of forming a silicon-containing layer with reduced volume shrinkage. These methods include transferring a substrate containing a gap and depositing a conformal silicon-and-nitrogen-containing layer on the substrate. The conformal silicon-and-nitrogen-containing layer has a conformality. These methods further include depositing a flowable silicon-and-nitrogen-containing layer over the conformal silicon-and-nitrogen-containing layer.
  • the silicon-containing layer comprises both the conformal silicon-and-nitrogen-containing layer and the flowable silicon-and-nitrogen- containing layer.
  • Fig. 1 is a flowchart illustrating selected steps for making a conformal dielectric layer according to embodiments of the invention.
  • Fig. 2 is a flowchart illustrating selected steps for forming a conformal dielectric layer having selectable optical properties according to embodiments of the invention.
  • Fig. 3 is a flowchart illustrating selected steps for forming a dielectric layer in a gap according to embodiments of the invention.
  • Fig. 4 shows a substrate processing system according to embodiments of the invention.
  • Fig. 5A shows a substrate processing chamber according to embodiments of the invention.
  • Fig. 5B shows a showerhead of a substrate processing chamber according to embodiments of the invention.
  • a silicon-nitrogen-hydrogen (Si-N-H) film e.g., a silicon-nitrogen-hydrogen (Si-N-H) film
  • Si-N-H silicon-nitrogen-hydrogen
  • the carbon-free silicon-and-nitrogen precursor is predominantly excited by contact with the radical-nitrogen precursor. Because the silicon-and-nitrogen film is formed without carbon, the conversion of the film into hardened silicon oxide is done with less pore formation and less volume shrinkage.
  • the deposited silicon-and-nitrogen-containing film may be wholly or partially converted to silicon oxide which allows the optical properties of the conformal dielectric layer to be selectable.
  • the deposition of a thin silicon-and-nitrogen-containing film may be performed at low temperature to form a liner layer in a substrate trench.
  • low temperature liner layers have been found to improve the wetting properties and allow flowable films to more completely fill the trench.
  • Fig. 1 is a flowchart showing selected steps in methods 100 of making conformal dielectric layers according to embodiments of the invention.
  • the method 100 includes providing a carbon- free silicon precursor to a reaction chamber 102.
  • the carbon- free silicon precursor may be, for example, a silicon-and-nitrogen precursor, a silicon-and-hydrogen precursor, or a silicon-nitrogen-and-hydrogen containing precursor, among other classes of silicon precursors. Specific examples of these precursors may include silyl-amines such as
  • silyl-amines may be mixed with additional gases that may act as carrier gases, reactive gases, or both.
  • additional gases may include H 2 , N 2 , NH 3 , He, and Ar, among other gases.
  • carbon-free silicon precursors may also include silane (SiH 4 ) either alone or mixed with other silicon-containing gases (e.g., N(SiH 3 ) 3 ), hydrogen-containing gases (e.g., H 2 ), and/or nitrogen-containing gases (e.g., N 2 , NH 3 ).
  • Carbon-free silicon precursors may also include disilane, trisilane, higher-order silanes, and chlorinated silanes, alone or in combination with one another or the previously mentioned carbon-free silicon precursors.
  • the silicon-precursor may be oxygen-free in addition to carbon-free.
  • the lack of oxygen results in a lower concentration of silanol (Si-OH) groups in the silicon-and-nitrogen layer formed from the precursors. Excess silanol moieties in the deposited film can cause increased porosity and shrinkage during post deposition steps that remove the hydroxyl (-OH) moieties from the deposited layer.
  • the silicon-precursor contains oxygen which is incorporated into the conformal silicon-and-nitrogen-containing film.
  • Oxygen content may be desirable in order to modify deposition properties and/or the optical properties of the deposited film.
  • Oxygen-containing precursors may be introduced as a separate precursor and a carbon- free silicon precursor and an oxygen-containing precursor may be concurrently flowed into the substrate processing region.
  • a radical-nitrogen precursor is also provided to the reaction chamber 104.
  • the radical- nitrogen precursor is a nitrogen-radical containing species that was generated outside the reaction chamber from a more stable nitrogen precursor.
  • a stable nitrogen precursor such as NH 3 may be activated in a plasma unit outside the reaction chamber to form the radical-nitrogen precursor, which is then transported into the reaction chamber.
  • the stable nitrogen precursor may also be a mixture comprising NH 3 & N 2 , NH 3 & H 2 , NH 3 & N 2 & H 2 and N 2 & H 2 , in different embodiments. Hydrazine may also be used in place of or in combination with NH 3 in the mixtures with N 2 and H 2 .
  • the stable nitrogen precursor (and the radical-nitrogen precursor) may be accompanied by a carrier gas such as argon (Ar), hydrogen (H 2 ), nitrogen (N 2 ), helium, etc.
  • the radical-nitrogen precursor produced may be one or more of 'N, 'NH, 'NH 2 , etc., and may also be accompanied by ionized species formed in the plasma.
  • a radical precursor which does not include nitrogen will also allow a silicon-and-nitro gen-containing layer to be formed.
  • a radical precursor may be a radical- nitrogen precursor if it includes nitrogen supplied with the aforementioned precursors to the remote plasma region.
  • the radical precursor is generated in a section of the reaction chamber partitioned from a deposition region where the precursors mix and react to deposit the silicon- and-nitrogen layer on a deposition substrate (e.g., a semiconductor wafer).
  • a stable nitrogen precursor is flowed into the remote plasma region and excited by a plasma.
  • the stable nitrogen precursor (and the radical-nitrogen precursor) may also be accompanied by a carrier gas such as hydrogen (H 2 ), nitrogen (N 2 ), argon, helium, etc.
  • a radical-nitrogen precursor formed from an input gas consisting essentially of nitrogen (N 2 ) (with or without additional inert carrier gases) has also been found to produce beneficial films in disclosed embodiments.
  • the radical-nitrogen precursor may also be replaced by a radical precursor formed from an input gas consisting essentially of hydrogen (H 2 ) (and optionally inert carrier gases) in
  • the silicon-containing precursor comprises nitrogen.
  • the radical-nitrogen precursor is generated in a section of the reaction chamber partitioned from a substrate processing region by a screen or showerhead. In the substrate processing region, the carbon-free silicon precursor and the radical-nitrogen precursor mix and react 106 to deposit a conformal silicon-and-nitrogen containing film on the deposition substrate 108.
  • the radical-nitrogen precursor provides the predominant excitation to the carbon- free silicon precursor. In embodiments, the radical-nitrogen precursor provides the only excitation to the carbon-free silicon precursor. No or essentially no plasma power is applied to the substrate processing region during deposition.
  • the pressure in the substrate processing region is below one of 200 mTorr, 150 mTorr, 100 mTorr, 75 mTorr or 50 mTorr, in different embodiments.
  • the thickness of the conformal silicon-and-nitrogen-containing film may be below one of 30 nm, 20 nm, 15 nm, 10 nm and 5 nm, in different embodiments.
  • the growth rate of the conformal silicon-and-nitrogen- containing film may be below one of 30 nm/min, 20 nm/min, 15 nm/min, 10 nm/min and 5 nm/min, in different embodiments. As described previously, the bounds described with reference to FIG. 1 apply also to the other embodiments described elsewhere herein.
  • the flow rates of the precursors may be low enough to establish the relatively low pressures in the substrate processing region. Alternatively, the pumping speed can be increased.
  • the temperature of the substrate during deposition of the conformal silicon-and-nitrogen- containing film may be below one of 200°C, 150°C, 100°C, 75°C and 50°C, in different embodiments.
  • the film may be cured and/or annealed to increase the oxygen content of the film as discussed in more detail herein with reference to FIG. 2.
  • the method 200 may include transferring a patterned substrate 202.
  • the substrate may have trenches, gaps and other vertical features present during the course of producing electrical components (e.g., transistors) and interconnects on the substrate.
  • a conformal silicon-and-nitrogen-containing layer is be deposited on the substrate 204 with methods already presented in the course of describing FIG. 1.
  • the patterned substrate may be heated in or simply exposed to an oxygen-containing atmosphere 206 after the silicon-and-nitrogen-containing film is deposited.
  • the substrate may remain in the reaction chamber when the oxygen-containing atmosphere is introduced, or the substrate may be transferred to a different chamber where the oxygen-containing atmosphere is introduced.
  • the oxygen-containing atmosphere may include one or more oxygen containing gases such as molecular oxygen (0 2 ), ozone (0 3 ), water vapor (H 2 0), and nitrogen-oxides (NO, N0 2 , etc.), among other oxygen-containing gases.
  • the oxygen- containing atmosphere may also include radical oxygen and hydroxyl species such as atomic oxygen (O), hydroxides (OH), etc., that may be generated remotely and transported into the substrate chamber. Ions of oxygen-containing species may also be present.
  • the oxygen-containing atmosphere provides oxygen to convert the silicon-and-nitrogen containing film partially or completely into a silicon oxide (Si0 2 ) film.
  • the lack of carbon in the silicon-and-nitrogen containing film results in significantly fewer pores formed in the final silicon oxide film. It also results in less volume reduction (i.e., shrinkage) of the film during the conversion to the silicon oxide. For example, where a silicon-nitrogen-carbon layer formed from carbon-containing silicon precursors may shrink by 40 vol.% or more when converted to silicon oxide, the substantially carbon-free silicon-and-nitrogen films may shrink by about 15 vol.% or less.
  • the patterned substrate may be heated above one of 50°C, 100°C, 150°C, 200°C, 250°C, 300°C, 400°C, 500°C, 600°C, 800°C and 1000°C, in embodiments.
  • the substrate temperature may be below one of 100°C, 200°C, 300°C, 400°C, 500°C, 600°C, 800°C, 1000°C or 1100°C, in embodiments, during the exposure to the oxygen-containing atmosphere.
  • Any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature, in different embodiments.
  • Embodiments may include multiple heating stages with different temperatures and atmospheres.
  • a first heating stage may be performed at a lower first temperature in an atmosphere that includes steam (H 2 0), while a second heating stage may be performed at a higher second temperature in a dry oxygen-containing atmosphere which substantially lacks water vapor.
  • a third heating stage may also be conducted in a non-oxygen containing atmosphere (e.g., dry N 2 , He, Ar, etc.).
  • a non-oxygen containing atmosphere e.g., dry N 2 , He, Ar, etc.
  • an ozone cure conducted at low temperatures e.g. between 200°C and 400°C precedes a high temperature oxygen (0 2 ) anneal (e.g. above 800°C).
  • the oxygen-containing atmosphere cure and/or anneal reduces the real portion of the index of refraction (measured at 193 nm) below one of 1.8, 1.75, 1.7 or 1.65, in different embodiments.
  • the cure and/or anneal reduces the extinction coefficient (the magnitude of the imaginary portion of the index of refraction; also measured at 193 nm) below one of 0.15, 0.10, 0.075, 0.050 or 0.025, in different
  • the conversion to silicon oxide is partial and the extinction coefficient following conversion is above one of 0.010, 0.025, 0.050, 0.075 and 0.10, in different embodiments. Any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the extinction coefficient, in additional embodiments.
  • Fig. 3 is a flowchart illustrating selected steps in methods 300 for forming a dielectric layer in a gap on a substrate according to embodiments of the invention.
  • the method 300 may include transferring a patterned substrate 302 into a substrate processing region.
  • the substrate may have a plurality of gaps for the spacing and structure of device components (e.g., transistors) formed on the substrate.
  • device components e.g., transistors
  • the gaps may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1 : 1 (e.g., 5: 1 or more, 6: 1 or more, 7: 1 or more, 8: 1 or more, 9: 1 or more, 10: 1 or more, 11 : 1 or more, 12: 1 or more, etc.).
  • AR aspect ratio
  • the high AR is due to small gap widths of that range from about 90 nm to about 22 nm or less (e.g., about 90 nm, 65 nm, 45 nm, 32 nm, 22 nm, 16 nm, etc.).
  • a conformal silicon-and-nitrogen-containing layer may be deposited on the substrate 304 as described with reference to FIGS 2-3.
  • a conformal layer refers to a generally uniform layer of material on a surface in the same shape as the surface, i.e., the surface of the layer and the surface being covered are generally parallel.
  • Conformality is quantified herein to be a ratio of two thicknesses of the conformal layer measured at two different locations: one thickness is on the sidewall of a gap and the other thickness is at the bottom of a gap.
  • the wall thickness or the bottom thickness may be smaller to a variety of effects which take place during deposition of a gapfilling film.
  • the smaller of the two thicknesses is divided by the larger and the ratio is converted to a percentage.
  • the conformality of the silicon-and-nitrogen-containing layer may be greater than one of 70%, 80%, 90% and 95%), in different embodiments.
  • a flowable silicon-and-nitrogen-containing layer is deposited 306 on the conformal layer by increasing the pressure in the substrate processing region. This may be done by increasing the flow rates of one or both of the precursors (the radical nitrogen precursor and/or the carbon- free silicon-containing precursor). Alternatively or in combination, the pumping speed may be reduced by partially closing a valve or reducing the actual pumping speed of the vacuum pump.
  • the pressure in the substrate processing region is greater than one of 500 mTorr, 750 mTorr, 1 Torr or 2 Torr, in different embodiments, as the flowable layer is formed. The wetting properties of the conformal layer assist the penetration of the flowable layer into the gap.
  • the layer is flowable, it can fill gaps with high aspect ratios without creating voids or weak seams around the center of the filling material. For example, a depositing flowable material is less likely to prematurely clog the top of a gap before it is completely filled to leave a void in the middle of the gap.
  • the flowability may be due to a variety of properties which result from mixing a radical- nitrogen precursors with carbon- free silicon precursor. These properties may include a significant hydrogen component in the deposited film and/or the presence of short chained polysilazane polymers. These short chains grow and network to form more dense dielectric material during and after the formation of the film.
  • the deposited film may have a silazane-type, Si-NH-Si backbone (i.e., a Si-N-H film).
  • the deposited silicon-and-nitrogen containing film is also substantially carbon-free.
  • carbon-free does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen precursor. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., TEOS, TMDSO, etc.).
  • the deposition of the conformal and flowable silicon-and-nitrogen-containing films may be followed by curing and/or annealing 308.
  • the conversion to silicon oxide may be partial or complete and may affect both the flowable and conformally deposited films.
  • the oxygen-containing atmosphere may include molecular oxygen, ozone and water vapor, among other gases. In some instances, a plasma may be struck from a mixture that includes the oxygen-containing gases, while in other instances no plasma is formed from the gases.
  • the oxygen-containing gas entering the CVD chamber may include one or more compounds that have been activated (e.g., radicalized, ionized, etc.) before entering the chamber.
  • the oxygen-containing gas may include radical oxygen species, radical hydroxyl species, etc., activated by exposing more stable precursor compounds through a remote plasma source.
  • the more stable precursors may include water vapor and hydrogen peroxide (H 2 0 2 ) that produce hydroxyl (OH) radicals and ions, and molecular oxygen and/or ozone that produce atomic oxygen (O) radicals and ions.
  • Deposition chambers may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers.
  • HDP-CVD high-density plasma chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • SACVD sub-atmospheric chemical vapor deposition
  • thermal chemical vapor deposition chambers among other types of chambers.
  • Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and
  • PRODUCER® PECVD chambers/systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL," the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes. Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips.
  • FIG. 4 shows one such system 400 of deposition, baking and curing chambers according to disclosed embodiments.
  • a pair of FOUPs (front opening unified pods) 402 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 404 and placed into a low pressure holding area 406 before being placed into one of the wafer processing chambers 408a- f.
  • a second robotic arm 410 may be used to transport the substrate wafers from the holding area 406 to the processing chambers 408a-f and back.
  • the processing chambers 408a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer.
  • two pairs of the processing chamber e.g., 408c-d and 408e-f
  • the third pair of processing chambers e.g., 408a-b
  • the same two pairs of processing chambers may be configured to both deposit and anneal a flowable dielectric film on the substrate, while the third pair of chambers (e.g., 408a-b) may be used for UV or E-beam curing of the deposited film.
  • all three pairs of chambers e.g., 408a-f may be configured to deposit and cure a flowable dielectric film on the substrate.
  • two pairs of processing chambers may be used for both deposition and UV or E-beam curing of the flowable dielectric, while a third pair of processing chambers (e.g. 408a-b) may be used for annealing the dielectric film.
  • a third pair of processing chambers e.g. 408a-b
  • Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in different embodiments.
  • FIG. 5A is a substrate processing chamber 500 according to disclosed embodiments.
  • a remote plasma system (RPS) 510 may process a gas which then travels through a gas inlet assembly 511. Two distinct gas supply channels are visible within the gas inlet assembly 511.
  • a first channel 512 carries a gas that passes through the remote plasma system RPS 510, while a second channel 513 bypasses the RPS 500.
  • the first channel 502 may be used for the process gas and the second channel 513 may be used for a treatment gas in disclosed embodiments.
  • the lid (or conductive top portion) 521 and a perforated partition 553 are shown with an insulating ring 524 in between, which allows an AC potential to be applied to the lid 521 relative to perforated partition 553.
  • the process gas travels through first channel 512 into chamber plasma region 520 and may be excited by a plasma in chamber plasma region 520 alone or in combination with RPS 510.
  • the combination of chamber plasma region 520 and/or RPS 510 may be referred to as a remote plasma system herein.
  • the perforated partition (also referred to as a showerhead) 553 separates chamber plasma region 520 from a substrate processing region 570 beneath showerhead 553.
  • showerhead 553 allows a plasma present in chamber plasma region 520 to avoid directly exciting gases in substrate processing region 570, while still allowing excited species to travel from chamber plasma region 520 into substrate processing region 570.
  • the pumping speed of the exhaust system attached to the substrate processing region is selected and configured to maintain a pressure in the substrate processing region below one of 200 mTorr, 150 mTorr, 100 mTorr, 75 mTorr or 50 mTorr, in order to facilitate the deposition of conformal silicon-and-nitrogen-containing films.
  • showerhead 553 is positioned between chamber plasma region 520 and substrate processing region 570 and allows plasma effluents (excited derivatives of precursors or other gases) created within chamber plasma region 520 to pass through a plurality of through holes 556 that traverse the thickness of the plate.
  • the showerhead 553 also has one or more hollow volumes 551 which can be filled with a precursor in the form of a vapor or gas (such as a silicon-containing precursor) and pass through small holes 555 into substrate processing region 570 but not directly into chamber plasma region 520.
  • showerhead 553 is thicker than the length of the smallest diameter 550 of the through-holes 556 in this disclosed
  • the length 526 of the smallest diameter 550 of the through-holes may be restricted by forming larger diameter portions of through-holes 556 part way through the showerhead 553.
  • the length of the smallest diameter 550 of the through-holes 556 may be the same order of magnitude as the smallest diameter of the through-holes 556 or less in disclosed embodiments.
  • showerhead 553 may distribute (via through holes 556) process gases which contain oxygen, hydrogen and/or nitrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 520.
  • the process gas introduced into the RPS 510 and/or chamber plasma region 520 through first channel 512 may contain one or more of oxygen (0 2 ), ozone (0 3 ), N 2 0, NO, N0 2 , NH 3 , N x H y including N 2 H 4 , silane, disilane, TSA and DSA.
  • the process gas may also include a carrier gas such as helium, argon, nitrogen (N 2 ), etc.
  • the second channel 513 may also deliver a process gas and/or a carrier gas, and/or a film-curing gas used to remove an unwanted component from the growing or as-deposited film.
  • Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-oxygen precursor and/or a radical-nitrogen precursor referring to the atomic constituents of the process gas introduced.
  • the number of through-holes 556 may be between about 60 and about 2000. Through-holes 556 may have a variety of shapes but are most easily made round. The smallest diameter 550 of through holes 556 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in disclosed embodiments.
  • the number of small holes 555 used to introduce a gas into substrate processing region 570 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments.
  • the diameter of the small holes 555 may be between about 0.1 mm and about 2 mm.
  • FIG. 5B is a bottom view of a showerhead 553 for use with a processing chamber according to disclosed embodiments.
  • showerhead 553 corresponds with the showerhead shown in FIG. 5 A.
  • Through-holes 556 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 553 and a smaller ID at the top.
  • Small holes 555 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 556 which helps to provide more even mixing than other embodiments described herein.
  • An exemplary film is created on a substrate supported by a pedestal (not shown) within substrate processing region 570 when plasma effluents arriving through through-holes 556 in showerhead 553 combine with a silicon-containing precursor arriving through the small holes 555 originating from hollow volumes 551.
  • substrate processing region 570 may be equipped to support a plasma for other processes such as curing, no plasma is present during the growth of the exemplary film.
  • a plasma may be ignited either in chamber plasma region 520 above showerhead 553 or substrate processing region 570 below showerhead 553.
  • a plasma is present in chamber plasma region 520 to produce the radical nitrogen precursor from an inflow of a nitrogen- and-hydrogen-containing gas.
  • An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion 521 of the processing chamber and showerhead 553 to ignite a plasma in chamber plasma region 520 during deposition.
  • An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
  • the top plasma may be left at low or no power when the bottom plasma in the substrate processing region 570 is turned on to either cure a film or clean the interior surfaces bordering substrate processing region 570.
  • a plasma in substrate processing region 570 is ignited by applying an AC voltage between showerhead 553 and the pedestal or bottom of the chamber.
  • a cleaning gas may be introduced into substrate processing region 570 while the plasma is present.
  • the pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate.
  • the heat exchange fluid may comprise ethylene glycol and water.
  • the wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistive ly heated in order to achieve relatively high temperatures (from about 120°C through about 1100°C) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles.
  • An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
  • the wiring to the heater element passes through the stem of the pedestal.
  • the substrate processing system is controlled by a system controller.
  • the system controller includes a hard disk drive, a floppy disk drive and a processor.
  • the processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards.
  • SBC single-board computer
  • Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types.
  • VME Versa Modular European
  • the VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • the system controller controls all of the activities of the CVD machine.
  • the system controller executes system control software, which is a computer program stored in a computer-readable medium.
  • the medium is a hard disk drive, but the medium may also be other kinds of memory.
  • the computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.
  • Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to instruct the system controller.
  • a process for depositing a film stack on a substrate or a process for cleaning a chamber can be implemented using a computer program product that is executed by the system controller.
  • the computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of
  • precompiled Microsoft Windows® library routines To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
  • the interface between a user and the controller is via a flat-panel touch-sensitive monitor.
  • two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians.
  • the two monitors may simultaneously display the same information, in which case only one accepts input at a time.
  • the operator touches a designated area of the touch- sensitive monitor.
  • the touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the operator and the touch-sensitive monitor.
  • Other devices such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to the touch-sensitive monitor to allow the user to communicate with the system controller.
  • the chamber plasma region or a region in an RPS may be referred to as a remote plasma region.
  • the radical nitrogen precursor is created in the remote plasma region and travels into the substrate processing region where the carbon- free silicon-containing precursor is excited by the radical nitrogen precursor.
  • the carbon- free silicon-containing precursor is excited only by the radical nitrogen precursor.
  • Plasma power may essentially be applied only to the remote plasma region, in embodiments, to ensure that the radical nitrogen precursor provides the predominant excitation to the carbon- free silicon- containing precursor.
  • the substrate processing region may be described herein as "plasma-free" during the growth of the silicon-and-nitrogen-containing layer as well as some of the other processing steps.
  • “Plasma-free” does not necessarily mean the region is devoid of plasma. Ionized species created within the plasma region may travel into the substrate processing region but the carbon- free silicon-containing precursor is not substantially excited by the plasma power applied to the plasma region.
  • the borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, a small amount of ionization may be effected within the substrate processing region directly.
  • a low intensity plasma may be created in the substrate processing region without eliminating the flowable nature of the forming film. All causes for a plasma having much lower intensity than the chamber plasma region during the creation of the radical nitrogen precursor do not deviate from the scope of "plasma-free" as used herein.
  • substrate may be a support substrate with or without layers formed thereon.
  • the support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits.
  • a layer of "silicon oxide” is used as a shorthand for and interchangeably with a silicon-and-oxygen-containing material.
  • silicon oxide may include concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like.
  • silicon oxide consists essentially of silicon and oxygen.
  • precursor is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. A gas in an
  • excited state describes a gas wherein at least some of the gas molecules are in vibrationally- excited, dissociated and/or ionized states.
  • a gas may be a combination of two or more gases.
  • the terms “trench” or “gap” are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches and gaps may appear circular, oval, polygonal, rectangular, or a variety of other shapes.

Abstract

Methods, materials, and systems are described for forming conformal dielectric layers containing silicon and nitrogen (e.g., silicon-nitrogen-hydrogen (Si-N-H) film) from a carbon-free silicon-and-nitrogen precursor and radical-nitrogen precursor. Carbon-free silicon-and-nitrogen precursor is predominantly excited by contact with radical-nitrogen precursor. Because silicon-and-nitrogen film is formed without carbon, conversion of film into hardened silicon oxide is done with less pore formation and less volume shrinkage. The deposited silicon-and-nitrogen-containing film may be wholly or partially converted to silicon oxide which allows optical properties of conformal dielectric layer to be selectable. The deposition of a thin silicon-and-nitrogen-containing film may be performed at low temperature to form a liner layer in a substrate trench. The low temperature liner layer is found to improve wetting properties and allows flowable films to more completely fill the trench.

Description

CONFORMAL LAYERS BY RADICAL-COMPONENT CVD
CROSS-REFERENCES TO RELATED APPLICATIONS This application claims the benefit of U.S. Pat. App. No. 13/024,487 filed February 10, 2011, and titled "CONFORMAL LAYERS BY RADICAL-COMPONENT CVD;" U.S. Pat. App. No. 12/840,768 filed July 21, 2010, and titled "FORMATION OF SILICON OXIDE USING NON-CARBON FLOWABLE CVD PROCESSES;" and U.S. Prov. Pat. App.
No. 61/311,061 filed March 5, 2010, and titled "CONFORMAL LAYERS BY RADICAL- COMPONENT CVD." The entire contents of these applications are incorporated herein by reference for all purposes.
BACKGROUND OF THE INVENTION
Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produces devices with 45 nm, 32 nm, and 28 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries. The decreasing feature sizes result in structural features on the device having decreased spatial dimensions. The widths of gaps and trenches on the device narrow to a point where the aspect ratio of gap depth to its width becomes high enough to make it challenging to fill the gap with dielectric material. The depositing dielectric material is prone to clog at the top before the gap completely fills, producing a void or seam in the middle of the gap.
Over the years, many techniques have been developed to avoid having dielectric material clog the top of a gap, or to "heal" the void or seam that has been formed. One approach has been to start with highly flowable precursor materials that may be formed on a patterned substrate surface (e.g., SOG deposition techniques). These flowable precursors can flow into and fill very small substrate gaps without forming voids or weak seams.
In some applications the surfaces inside the substrate gaps may not be wettable by the flowable dielectric material. This tends to happen when the underlying material is deposited at high temperature, for example. Thus, there is a need for new deposition processes and materials to form dielectric materials on structured substrates, such that flowable materials can more easily penetrate gaps in the substrate surface, This and other needs are addressed in the present application.
BRIEF SUMMARY OF THE INVENTION
Methods, materials, and systems are described for forming conformal dielectric layers containing silicon and nitrogen (e.g., a silicon-nitrogen-hydrogen (Si-N-H) film) from a carbon-free silicon-and-nitrogen precursor and radical-nitrogen precursor. The carbon-free silicon-and-nitrogen precursor is predominantly excited by contact with the radical-nitrogen precursor. Because the silicon-and-nitrogen film is formed without carbon, the conversion of the film into hardened silicon oxide is done with less pore formation and less volume shrinkage. The deposited silicon-and-nitrogen-containing film may be wholly or partially converted to silicon oxide which allows the optical properties of the conformal dielectric layer to be selectable. The deposition of a thin silicon-and-nitrogen-containing film may be performed at low temperature to form a liner layer in a substrate trench. The low temperature liner layer has been found to improve the wetting properties and allows flowable films to more completely fill the trench.
Embodiments of the invention include methods of forming a conformal silicon-and-nitrogen- containing layer on a patterned substrate in a substrate processing region in a substrate processing chamber. These methods include mixing a carbon-free silicon-and-nitrogen- containing precursor with a radical-nitrogen precursor. The carbon-free silicon-and-nitrogen- containing precursor is predominantly excited by contact with the radical-nitrogen precursor. These methods further include depositing a conformal silicon-and-nitrogen-containing layer having a conformal layer thickness on the patterned substrate.
Embodiments of the invention further include methods of forming a silicon-containing layer with reduced volume shrinkage. These methods include transferring a substrate containing a gap and depositing a conformal silicon-and-nitrogen-containing layer on the substrate. The conformal silicon-and-nitrogen-containing layer has a conformality. These methods further include depositing a flowable silicon-and-nitrogen-containing layer over the conformal silicon-and-nitrogen-containing layer. The silicon-containing layer comprises both the conformal silicon-and-nitrogen-containing layer and the flowable silicon-and-nitrogen- containing layer.
Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the invention. The features and advantages of the invention may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.
BRIEF DESCRIPTION OF THE DRAWINGS
A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings wherein like reference numerals are used throughout the several drawings to refer to similar components. In some instances, a sublabel is associated with a reference numeral and follows a hyphen to denote one of multiple similar components. When reference is made to a reference numeral without specification to an existing sublabel, it is intended to refer to all such multiple similar components.
Fig. 1 is a flowchart illustrating selected steps for making a conformal dielectric layer according to embodiments of the invention.
Fig. 2 is a flowchart illustrating selected steps for forming a conformal dielectric layer having selectable optical properties according to embodiments of the invention. Fig. 3 is a flowchart illustrating selected steps for forming a dielectric layer in a gap according to embodiments of the invention.
Fig. 4 shows a substrate processing system according to embodiments of the invention.
Fig. 5A shows a substrate processing chamber according to embodiments of the invention.
Fig. 5B shows a showerhead of a substrate processing chamber according to embodiments of the invention.
DETAILED DESCRIPTION OF THE INVENTION
Methods, materials, and systems are described for forming conformal dielectric layers containing silicon and nitrogen (e.g., a silicon-nitrogen-hydrogen (Si-N-H) film) from a carbon-free silicon-and-nitrogen precursor and radical-nitrogen precursor. The carbon-free silicon-and-nitrogen precursor is predominantly excited by contact with the radical-nitrogen precursor. Because the silicon-and-nitrogen film is formed without carbon, the conversion of the film into hardened silicon oxide is done with less pore formation and less volume shrinkage. The deposited silicon-and-nitrogen-containing film may be wholly or partially converted to silicon oxide which allows the optical properties of the conformal dielectric layer to be selectable. The deposition of a thin silicon-and-nitrogen-containing film may be performed at low temperature to form a liner layer in a substrate trench. In embodiments, low temperature liner layers have been found to improve the wetting properties and allow flowable films to more completely fill the trench. In the course of introducing additional details about the methods and systems for forming conformal dielectric layers, aspects of embodiments will be presented in the discussion of one embodiment with the understanding that the aspects may also be used in the remaining embodiments unless statements are made to the contrary.
Fig. 1 is a flowchart showing selected steps in methods 100 of making conformal dielectric layers according to embodiments of the invention. The method 100 includes providing a carbon- free silicon precursor to a reaction chamber 102. The carbon- free silicon precursor may be, for example, a silicon-and-nitrogen precursor, a silicon-and-hydrogen precursor, or a silicon-nitrogen-and-hydrogen containing precursor, among other classes of silicon precursors. Specific examples of these precursors may include silyl-amines such as
H2N(SiH3), HN(SiH3)2, and N(SiH3)3, among other silyl-amines. These silyl-amines may be mixed with additional gases that may act as carrier gases, reactive gases, or both. Examples of additional gases may include H2, N2, NH3, He, and Ar, among other gases. Examples of carbon-free silicon precursors may also include silane (SiH4) either alone or mixed with other silicon-containing gases (e.g., N(SiH3)3), hydrogen-containing gases (e.g., H2), and/or nitrogen-containing gases (e.g., N2, NH3). Carbon-free silicon precursors may also include disilane, trisilane, higher-order silanes, and chlorinated silanes, alone or in combination with one another or the previously mentioned carbon-free silicon precursors.
The silicon-precursor may be oxygen-free in addition to carbon-free. The lack of oxygen results in a lower concentration of silanol (Si-OH) groups in the silicon-and-nitrogen layer formed from the precursors. Excess silanol moieties in the deposited film can cause increased porosity and shrinkage during post deposition steps that remove the hydroxyl (-OH) moieties from the deposited layer. In other embodiments, the silicon-precursor contains oxygen which is incorporated into the conformal silicon-and-nitrogen-containing film.
Oxygen content may be desirable in order to modify deposition properties and/or the optical properties of the deposited film. Oxygen-containing precursors may be introduced as a separate precursor and a carbon- free silicon precursor and an oxygen-containing precursor may be concurrently flowed into the substrate processing region.
A radical-nitrogen precursor is also provided to the reaction chamber 104. The radical- nitrogen precursor is a nitrogen-radical containing species that was generated outside the reaction chamber from a more stable nitrogen precursor. For example, a stable nitrogen precursor such a NH3 may be activated in a plasma unit outside the reaction chamber to form the radical-nitrogen precursor, which is then transported into the reaction chamber. The stable nitrogen precursor may also be a mixture comprising NH3 & N2, NH3 & H2, NH3 & N2 & H2 and N2 & H2, in different embodiments. Hydrazine may also be used in place of or in combination with NH3 in the mixtures with N2 and H2. The stable nitrogen precursor (and the radical-nitrogen precursor) may be accompanied by a carrier gas such as argon (Ar), hydrogen (H2), nitrogen (N2), helium, etc. The radical-nitrogen precursor produced may be one or more of 'N, 'NH, 'NH2, etc., and may also be accompanied by ionized species formed in the plasma. Generally speaking, a radical precursor which does not include nitrogen will also allow a silicon-and-nitro gen-containing layer to be formed. A radical precursor may be a radical- nitrogen precursor if it includes nitrogen supplied with the aforementioned precursors to the remote plasma region. The radical precursor is generated in a section of the reaction chamber partitioned from a deposition region where the precursors mix and react to deposit the silicon- and-nitrogen layer on a deposition substrate (e.g., a semiconductor wafer). In an embodiment where the radical precursor is a radical-nitrogen precursor, a stable nitrogen precursor is flowed into the remote plasma region and excited by a plasma. The stable nitrogen precursor (and the radical-nitrogen precursor) may also be accompanied by a carrier gas such as hydrogen (H2), nitrogen (N2), argon, helium, etc. A radical-nitrogen precursor formed from an input gas consisting essentially of nitrogen (N2) (with or without additional inert carrier gases) has also been found to produce beneficial films in disclosed embodiments. The radical-nitrogen precursor may also be replaced by a radical precursor formed from an input gas consisting essentially of hydrogen (H2) (and optionally inert carrier gases) in
embodiments where the silicon-containing precursor comprises nitrogen. In embodiments, the radical-nitrogen precursor is generated in a section of the reaction chamber partitioned from a substrate processing region by a screen or showerhead. In the substrate processing region, the carbon-free silicon precursor and the radical-nitrogen precursor mix and react 106 to deposit a conformal silicon-and-nitrogen containing film on the deposition substrate 108. The radical-nitrogen precursor provides the predominant excitation to the carbon- free silicon precursor. In embodiments, the radical-nitrogen precursor provides the only excitation to the carbon-free silicon precursor. No or essentially no plasma power is applied to the substrate processing region during deposition.
The pressure in the substrate processing region is below one of 200 mTorr, 150 mTorr, 100 mTorr, 75 mTorr or 50 mTorr, in different embodiments. The thickness of the conformal silicon-and-nitrogen-containing film may be below one of 30 nm, 20 nm, 15 nm, 10 nm and 5 nm, in different embodiments. The growth rate of the conformal silicon-and-nitrogen- containing film may be below one of 30 nm/min, 20 nm/min, 15 nm/min, 10 nm/min and 5 nm/min, in different embodiments. As described previously, the bounds described with reference to FIG. 1 apply also to the other embodiments described elsewhere herein. The flow rates of the precursors may be low enough to establish the relatively low pressures in the substrate processing region. Alternatively, the pumping speed can be increased. The temperature of the substrate during deposition of the conformal silicon-and-nitrogen- containing film may be below one of 200°C, 150°C, 100°C, 75°C and 50°C, in different embodiments. Following the deposition, the film may be cured and/or annealed to increase the oxygen content of the film as discussed in more detail herein with reference to FIG. 2.
Referring now to Fig. 2, another flowchart is shown illustrating selected steps in methods 200 for forming a conformal dielectric film on a patterned substrate according to embodiments of the invention. The method 200 may include transferring a patterned substrate 202. The substrate may have trenches, gaps and other vertical features present during the course of producing electrical components (e.g., transistors) and interconnects on the substrate. A conformal silicon-and-nitrogen-containing layer is be deposited on the substrate 204 with methods already presented in the course of describing FIG. 1.
The patterned substrate may be heated in or simply exposed to an oxygen-containing atmosphere 206 after the silicon-and-nitrogen-containing film is deposited. The substrate may remain in the reaction chamber when the oxygen-containing atmosphere is introduced, or the substrate may be transferred to a different chamber where the oxygen-containing atmosphere is introduced. The oxygen-containing atmosphere may include one or more oxygen containing gases such as molecular oxygen (02), ozone (03), water vapor (H20), and nitrogen-oxides (NO, N02, etc.), among other oxygen-containing gases. The oxygen- containing atmosphere may also include radical oxygen and hydroxyl species such as atomic oxygen (O), hydroxides (OH), etc., that may be generated remotely and transported into the substrate chamber. Ions of oxygen-containing species may also be present.
The oxygen-containing atmosphere provides oxygen to convert the silicon-and-nitrogen containing film partially or completely into a silicon oxide (Si02) film. The lack of carbon in the silicon-and-nitrogen containing film results in significantly fewer pores formed in the final silicon oxide film. It also results in less volume reduction (i.e., shrinkage) of the film during the conversion to the silicon oxide. For example, where a silicon-nitrogen-carbon layer formed from carbon-containing silicon precursors may shrink by 40 vol.% or more when converted to silicon oxide, the substantially carbon-free silicon-and-nitrogen films may shrink by about 15 vol.% or less.
During exposure to the oxygen-containing atmosphere, the patterned substrate may be heated above one of 50°C, 100°C, 150°C, 200°C, 250°C, 300°C, 400°C, 500°C, 600°C, 800°C and 1000°C, in embodiments. The substrate temperature may be below one of 100°C, 200°C, 300°C, 400°C, 500°C, 600°C, 800°C, 1000°C or 1100°C, in embodiments, during the exposure to the oxygen-containing atmosphere. Any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature, in different embodiments. Embodiments may include multiple heating stages with different temperatures and atmospheres. For example, a first heating stage may be performed at a lower first temperature in an atmosphere that includes steam (H20), while a second heating stage may be performed at a higher second temperature in a dry oxygen-containing atmosphere which substantially lacks water vapor. A third heating stage may also be conducted in a non-oxygen containing atmosphere (e.g., dry N2, He, Ar, etc.). In other embodiments, an ozone cure conducted at low temperatures (e.g. between 200°C and 400°C) precedes a high temperature oxygen (02) anneal (e.g. above 800°C). The oxygen-containing atmosphere cure and/or anneal reduces the real portion of the index of refraction (measured at 193 nm) below one of 1.8, 1.75, 1.7 or 1.65, in different embodiments. The cure and/or anneal reduces the extinction coefficient (the magnitude of the imaginary portion of the index of refraction; also measured at 193 nm) below one of 0.15, 0.10, 0.075, 0.050 or 0.025, in different
embodiments. The conversion to silicon oxide is partial and the extinction coefficient following conversion is above one of 0.010, 0.025, 0.050, 0.075 and 0.10, in different embodiments. Any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the extinction coefficient, in additional embodiments.
Fig. 3 is a flowchart illustrating selected steps in methods 300 for forming a dielectric layer in a gap on a substrate according to embodiments of the invention. The method 300 may include transferring a patterned substrate 302 into a substrate processing region. The substrate may have a plurality of gaps for the spacing and structure of device components (e.g., transistors) formed on the substrate. The gaps may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1 : 1 (e.g., 5: 1 or more, 6: 1 or more, 7: 1 or more, 8: 1 or more, 9: 1 or more, 10: 1 or more, 11 : 1 or more, 12: 1 or more, etc.). In many instances the high AR is due to small gap widths of that range from about 90 nm to about 22 nm or less (e.g., about 90 nm, 65 nm, 45 nm, 32 nm, 22 nm, 16 nm, etc.).
A conformal silicon-and-nitrogen-containing layer may be deposited on the substrate 304 as described with reference to FIGS 2-3. As used herein, a conformal layer refers to a generally uniform layer of material on a surface in the same shape as the surface, i.e., the surface of the layer and the surface being covered are generally parallel. A person having ordinary skill in the art will recognize that the deposited material likely cannot be 100% conformal and thus the term "generally" allows for acceptable tolerances. Conformality is quantified herein to be a ratio of two thicknesses of the conformal layer measured at two different locations: one thickness is on the sidewall of a gap and the other thickness is at the bottom of a gap. In cases where there is significant variation of thickness across a wafer or across a die, an averaged measurement from a sampling of points is understood. Note that either the wall thickness or the bottom thickness may be smaller to a variety of effects which take place during deposition of a gapfilling film. The smaller of the two thicknesses is divided by the larger and the ratio is converted to a percentage. Measured in this way, the conformality of the silicon-and-nitrogen-containing layer may be greater than one of 70%, 80%, 90% and 95%), in different embodiments.
A flowable silicon-and-nitrogen-containing layer is deposited 306 on the conformal layer by increasing the pressure in the substrate processing region. This may be done by increasing the flow rates of one or both of the precursors (the radical nitrogen precursor and/or the carbon- free silicon-containing precursor). Alternatively or in combination, the pumping speed may be reduced by partially closing a valve or reducing the actual pumping speed of the vacuum pump. The pressure in the substrate processing region is greater than one of 500 mTorr, 750 mTorr, 1 Torr or 2 Torr, in different embodiments, as the flowable layer is formed. The wetting properties of the conformal layer assist the penetration of the flowable layer into the gap. Because the layer is flowable, it can fill gaps with high aspect ratios without creating voids or weak seams around the center of the filling material. For example, a depositing flowable material is less likely to prematurely clog the top of a gap before it is completely filled to leave a void in the middle of the gap. The flowability may be due to a variety of properties which result from mixing a radical- nitrogen precursors with carbon- free silicon precursor. These properties may include a significant hydrogen component in the deposited film and/or the presence of short chained polysilazane polymers. These short chains grow and network to form more dense dielectric material during and after the formation of the film. For example the deposited film may have a silazane-type, Si-NH-Si backbone (i.e., a Si-N-H film). When both the silicon precursor and the radical-nitrogen precursor are carbon- free, the deposited silicon-and-nitrogen containing film is also substantially carbon-free. Of course, "carbon-free" does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen precursor. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., TEOS, TMDSO, etc.).
Analogous to the previous embodiments of FIGS 1-2, the deposition of the conformal and flowable silicon-and-nitrogen-containing films may be followed by curing and/or annealing 308. The conversion to silicon oxide may be partial or complete and may affect both the flowable and conformally deposited films. The oxygen-containing atmosphere may include molecular oxygen, ozone and water vapor, among other gases. In some instances, a plasma may be struck from a mixture that includes the oxygen-containing gases, while in other instances no plasma is formed from the gases. The oxygen-containing gas entering the CVD chamber may include one or more compounds that have been activated (e.g., radicalized, ionized, etc.) before entering the chamber. For example, the oxygen-containing gas may include radical oxygen species, radical hydroxyl species, etc., activated by exposing more stable precursor compounds through a remote plasma source. The more stable precursors may include water vapor and hydrogen peroxide (H202) that produce hydroxyl (OH) radicals and ions, and molecular oxygen and/or ozone that produce atomic oxygen (O) radicals and ions.
Exemplary Silicon Oxide Deposition System
Deposition chambers that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and
PRODUCER® PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, Calif.
Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL," the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes. Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 4 shows one such system 400 of deposition, baking and curing chambers according to disclosed embodiments. In the figure, a pair of FOUPs (front opening unified pods) 402 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 404 and placed into a low pressure holding area 406 before being placed into one of the wafer processing chambers 408a- f. A second robotic arm 410 may be used to transport the substrate wafers from the holding area 406 to the processing chambers 408a-f and back.
The processing chambers 408a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber (e.g., 408c-d and 408e-f) may be used to deposit the flowable dielectric material on the substrate, and the third pair of processing chambers (e.g., 408a-b) may be used to anneal the deposited dielectic. In another
configuration, the same two pairs of processing chambers (e.g., 408c-d and 408e-f) may be configured to both deposit and anneal a flowable dielectric film on the substrate, while the third pair of chambers (e.g., 408a-b) may be used for UV or E-beam curing of the deposited film. In still another configuration, all three pairs of chambers (e.g., 408a-f) may be configured to deposit and cure a flowable dielectric film on the substrate. In yet another configuration, two pairs of processing chambers (e.g., 408c-d and 408e-f) may be used for both deposition and UV or E-beam curing of the flowable dielectric, while a third pair of processing chambers (e.g. 408a-b) may be used for annealing the dielectric film. Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in different embodiments.
In addition, one or more of the process chambers 408a-f may be configured as a wet treatment chamber. These process chambers include heating the flowable dielectric film in an atmosphere that includes moisture. Thus, embodiments of system 400 may include wet treatment chambers 408a-b and anneal processing chambers 408c-d to perform both wet and dry anneals on the deposited dielectric film. FIG. 5A is a substrate processing chamber 500 according to disclosed embodiments. A remote plasma system (RPS) 510 may process a gas which then travels through a gas inlet assembly 511. Two distinct gas supply channels are visible within the gas inlet assembly 511. A first channel 512 carries a gas that passes through the remote plasma system RPS 510, while a second channel 513 bypasses the RPS 500. The first channel 502 may be used for the process gas and the second channel 513 may be used for a treatment gas in disclosed embodiments. The lid (or conductive top portion) 521 and a perforated partition 553 are shown with an insulating ring 524 in between, which allows an AC potential to be applied to the lid 521 relative to perforated partition 553. The process gas travels through first channel 512 into chamber plasma region 520 and may be excited by a plasma in chamber plasma region 520 alone or in combination with RPS 510. The combination of chamber plasma region 520 and/or RPS 510 may be referred to as a remote plasma system herein. The perforated partition (also referred to as a showerhead) 553 separates chamber plasma region 520 from a substrate processing region 570 beneath showerhead 553. Showerhead 553 allows a plasma present in chamber plasma region 520 to avoid directly exciting gases in substrate processing region 570, while still allowing excited species to travel from chamber plasma region 520 into substrate processing region 570.
The pumping speed of the exhaust system attached to the substrate processing region is selected and configured to maintain a pressure in the substrate processing region below one of 200 mTorr, 150 mTorr, 100 mTorr, 75 mTorr or 50 mTorr, in order to facilitate the deposition of conformal silicon-and-nitrogen-containing films.
Showerhead 553 is positioned between chamber plasma region 520 and substrate processing region 570 and allows plasma effluents (excited derivatives of precursors or other gases) created within chamber plasma region 520 to pass through a plurality of through holes 556 that traverse the thickness of the plate. The showerhead 553 also has one or more hollow volumes 551 which can be filled with a precursor in the form of a vapor or gas (such as a silicon-containing precursor) and pass through small holes 555 into substrate processing region 570 but not directly into chamber plasma region 520. Showerhead 553 is thicker than the length of the smallest diameter 550 of the through-holes 556 in this disclosed
embodiment. In order to maintain a significant concentration of excited species penetrating from chamber plasma region 520 to substrate processing region 570, the length 526 of the smallest diameter 550 of the through-holes may be restricted by forming larger diameter portions of through-holes 556 part way through the showerhead 553. The length of the smallest diameter 550 of the through-holes 556 may be the same order of magnitude as the smallest diameter of the through-holes 556 or less in disclosed embodiments.
In the embodiment shown, showerhead 553 may distribute (via through holes 556) process gases which contain oxygen, hydrogen and/or nitrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 520. In embodiments, the process gas introduced into the RPS 510 and/or chamber plasma region 520 through first channel 512 may contain one or more of oxygen (02), ozone (03), N20, NO, N02, NH3, NxHy including N2H4, silane, disilane, TSA and DSA. The process gas may also include a carrier gas such as helium, argon, nitrogen (N2), etc. The second channel 513 may also deliver a process gas and/or a carrier gas, and/or a film-curing gas used to remove an unwanted component from the growing or as-deposited film. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-oxygen precursor and/or a radical-nitrogen precursor referring to the atomic constituents of the process gas introduced. In embodiments, the number of through-holes 556 may be between about 60 and about 2000. Through-holes 556 may have a variety of shapes but are most easily made round. The smallest diameter 550 of through holes 556 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in disclosed embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or a combination of the two shapes. The number of small holes 555 used to introduce a gas into substrate processing region 570 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments. The diameter of the small holes 555 may be between about 0.1 mm and about 2 mm.
FIG. 5B is a bottom view of a showerhead 553 for use with a processing chamber according to disclosed embodiments. Showerhead 553 corresponds with the showerhead shown in FIG. 5 A. Through-holes 556 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 553 and a smaller ID at the top. Small holes 555 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 556 which helps to provide more even mixing than other embodiments described herein. An exemplary film is created on a substrate supported by a pedestal (not shown) within substrate processing region 570 when plasma effluents arriving through through-holes 556 in showerhead 553 combine with a silicon-containing precursor arriving through the small holes 555 originating from hollow volumes 551. Though substrate processing region 570 may be equipped to support a plasma for other processes such as curing, no plasma is present during the growth of the exemplary film.
A plasma may be ignited either in chamber plasma region 520 above showerhead 553 or substrate processing region 570 below showerhead 553. A plasma is present in chamber plasma region 520 to produce the radical nitrogen precursor from an inflow of a nitrogen- and-hydrogen-containing gas. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion 521 of the processing chamber and showerhead 553 to ignite a plasma in chamber plasma region 520 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 570 is turned on to either cure a film or clean the interior surfaces bordering substrate processing region 570. A plasma in substrate processing region 570 is ignited by applying an AC voltage between showerhead 553 and the pedestal or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 570 while the plasma is present.
The pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from 0°C through about 120°C). The heat exchange fluid may comprise ethylene glycol and water. The wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistive ly heated in order to achieve relatively high temperatures (from about 120°C through about 1100°C) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal.
The substrate processing system is controlled by a system controller. In an exemplary embodiment, the system controller includes a hard disk drive, a floppy disk drive and a processor. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
The system controller controls all of the activities of the CVD machine. The system controller executes system control software, which is a computer program stored in a computer-readable medium. Preferably, the medium is a hard disk drive, but the medium may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to instruct the system controller.
A process for depositing a film stack on a substrate or a process for cleaning a chamber can be implemented using a computer program product that is executed by the system controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of
precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
The interface between a user and the controller is via a flat-panel touch-sensitive monitor. In the preferred embodiment two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The two monitors may simultaneously display the same information, in which case only one accepts input at a time. To select a particular screen or function, the operator touches a designated area of the touch- sensitive monitor. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the operator and the touch-sensitive monitor. Other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to the touch-sensitive monitor to allow the user to communicate with the system controller. The chamber plasma region or a region in an RPS may be referred to as a remote plasma region. In embodiments, the radical nitrogen precursor is created in the remote plasma region and travels into the substrate processing region where the carbon- free silicon-containing precursor is excited by the radical nitrogen precursor. In embodiments, the carbon- free silicon-containing precursor is excited only by the radical nitrogen precursor. Plasma power may essentially be applied only to the remote plasma region, in embodiments, to ensure that the radical nitrogen precursor provides the predominant excitation to the carbon- free silicon- containing precursor.
The substrate processing region may be described herein as "plasma-free" during the growth of the silicon-and-nitrogen-containing layer as well as some of the other processing steps. "Plasma-free" does not necessarily mean the region is devoid of plasma. Ionized species created within the plasma region may travel into the substrate processing region but the carbon- free silicon-containing precursor is not substantially excited by the plasma power applied to the plasma region. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, a small amount of ionization may be effected within the substrate processing region directly. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating the flowable nature of the forming film. All causes for a plasma having much lower intensity than the chamber plasma region during the creation of the radical nitrogen precursor do not deviate from the scope of "plasma-free" as used herein.
As used herein "substrate" may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. A layer of "silicon oxide" is used as a shorthand for and interchangeably with a silicon-and-oxygen-containing material. As such, silicon oxide may include concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide consists essentially of silicon and oxygen. The term "precursor" is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. A gas in an
"excited state" describes a gas wherein at least some of the gas molecules are in vibrationally- excited, dissociated and/or ionized states. A gas may be a combination of two or more gases. The terms "trench" or "gap" are used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches and gaps may appear circular, oval, polygonal, rectangular, or a variety of other shapes.
Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a process" includes a plurality of such processes and reference to "the precursor" includes reference to one or more precursor and equivalents thereof known to those skilled in the art, and so forth.
Also, the words "comprise," "comprising," "include," "including," and "includes" when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

WHAT IS CLAIMED IS:
1. A method of forming a conformal silicon-and-nitrogen-containing layer on a patterned substrate in a substrate processing region in a substrate processing chamber, the method comprising:
mixing a carbon-free silicon-and-nitrogen-containing precursor with a radical- nitrogen precursor, wherein the carbon-free silicon-and-nitrogen-containing precursor is predominantly excited by contact with the radical-nitrogen precursor; and
depositing a conformal silicon-and-nitrogen-containing layer having a conformal layer thickness on the patterned substrate.
2. The method of claim 1 wherein a conformal deposition pressure in the substrate processing region during deposition of the conformal silicon-and-nitrogen- containing film is below or about 200 mTorr.
3. The method of claim 1 wherein a conformal deposition temperature of the substrate during deposition of the conformal silicon-and-nitrogen-containing film is below or about 200°C.
4. The method of claim 1 wherein the conformal layer thickness is below or about 20 nm.
5. The method of claim 1 further comprising converting the silicon-and- nitrogen containing layer to a silicon-and-oxygen-containing layer by exposing the silicon- and-nitrogen-containing layer to ozone.
6. The method of claim 1, wherein the carbon-free silicon-and-nitrogen containing precursor comprises a silyl-amine.
7. The method of claim 6 wherein the silyl-amine comprises N(SiH3)3.
8. The method of claim 1 wherein the radical-nitrogen precursor is generated from a nitrogen-and-hydrogen containing gas using a plasma before being mixed with the carbon- free silicon-and-nitrogen containing precursor.
9. The method of claim 8 wherein the nitrogen-and-hydrogen containing gas comprises a gas selected from the group consisting of ammonia, N2 and H2.
10. The method of claim 1 wherein the conformal silicon-and-nitrogen containing layer comprises a carbon-free Si-N-H layer.
11. The method of claim 1 wherein the conformal silicon-and-nitrogen containing layer is converted to the silicon oxide layer by exposing the silicon-and-nitrogen containing layer to an oxygen-containing atmosphere.
12. The method of claim 11 wherein the oxygen-containing atmosphere comprises one or more gases selected from the group consisting of oxygen, ozone, and steam.
13. A method of forming a silicon-containing layer with reduced volume shrinkage, the method comprising:
transferring a substrate containing a gap;
depositing a conformal silicon-and-nitrogen-containing layer on the substrate, wherein the conformal silicon-and-nitrogen-containing layer has a conformality; and
depositing a flowable silicon-and-nitrogen-containing layer over the conformal silicon-and-nitrogen-containing layer, wherein the silicon-containing layer comprises both the conformal silicon-and-nitrogen-containing layer and the flowable silicon-and-nitrogen- containing layer.
14. The method of claim 13 further comprising heating the silicon- containing layer in an oxygen-containing atmosphere to increase the oxygen content, wherein the silicon-containing layer retains a volume of about 85% or more of the carbon-free silicon- and-nitrogen containing layer deposited in the gap.
15. The method of claim 13 wherein the conformality of the conformal silicon-and-nitrogen-containing layer is greater than or about 80%.
16. The method of claim 13 wherein the conformal silicon-and-nitrogen containing layer is deposited on the substrate by the reaction of a silicon-and-nitrogen- containing precursor with a radical-nitrogen precursor, wherein the radical-nitrogen precursor provides the dominant excitation to the silicon-and-nitrogen precursor.
17. The method of claim 16 wherein the silicon-and-nitrogen-containing precursor comprises N(SiH3)3 and the radical-nitrogen precursor is formed from plasma- activated NH3.
18. The method of claim 14 wherein the oxygen-containing atmosphere comprises at least one of 02, 03, or H20.
19. The method of claim 13 wherein the silicon-containing layer in the gap is substantially void-free.
20. The method of claim 16 wherein the silicon-and-nitrogen containing layer comprises a Si-N-H layer.
21. The method of claim 13 wherein the conformal silicon-and-nitrogen- containing layer comprises a Si-N-H layer.
22. The method of claim 13 wherein the substrate gap has a width of about
50 nm or less.
PCT/US2011/024378 2010-03-05 2011-02-10 Conformal layers by radical-component cvd WO2011109148A2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2012556083A JP2013521650A (en) 2010-03-05 2011-02-10 Conformal layer by radical component CVD
CN2011800187794A CN102844848A (en) 2010-03-05 2011-02-10 Conformal layers by radical-component cvd
SG2012065074A SG183873A1 (en) 2010-03-05 2011-02-10 Conformal layers by radical-component cvd
KR1020127026126A KR101853802B1 (en) 2010-03-05 2011-02-10 Conformal layers by radical-component cvd

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US31106110P 2010-03-05 2010-03-05
US61/311,061 2010-03-05
US12/840,768 US8741788B2 (en) 2009-08-06 2010-07-21 Formation of silicon oxide using non-carbon flowable CVD processes
US12/840,768 2010-07-21
US13/024,487 US8563445B2 (en) 2010-03-05 2011-02-10 Conformal layers by radical-component CVD
US13/024,487 2011-02-10

Publications (2)

Publication Number Publication Date
WO2011109148A2 true WO2011109148A2 (en) 2011-09-09
WO2011109148A3 WO2011109148A3 (en) 2012-02-23

Family

ID=44531714

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/024378 WO2011109148A2 (en) 2010-03-05 2011-02-10 Conformal layers by radical-component cvd

Country Status (7)

Country Link
US (1) US8563445B2 (en)
JP (1) JP2013521650A (en)
KR (1) KR101853802B1 (en)
CN (1) CN102844848A (en)
SG (1) SG183873A1 (en)
TW (1) TWI534290B (en)
WO (1) WO2011109148A2 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020023385A1 (en) * 2018-07-24 2020-01-30 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120111738A (en) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (en) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) * 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US8921235B2 (en) * 2013-03-04 2014-12-30 Applied Materials, Inc. Controlled air gap formation
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
TW201522696A (en) * 2013-11-01 2015-06-16 Applied Materials Inc Low temperature silicon nitride films using remote plasma CVD technology
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9219006B2 (en) * 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (en) * 2014-08-28 2018-04-25 東京エレクトロン株式会社 Etching method
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9646876B2 (en) * 2015-02-27 2017-05-09 Applied Materials, Inc. Aluminum nitride barrier layer
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9947576B2 (en) * 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US10087521B2 (en) * 2015-12-15 2018-10-02 Silcotek Corp. Silicon-nitride-containing thermal chemical vapor deposition coating
KR102458309B1 (en) 2015-12-28 2022-10-24 삼성전자주식회사 Method of forming a SiOCN material layer and method of fabricating a semiconductor device
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
JP7190450B2 (en) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド Dry stripping of boron carbide hardmask
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102585074B1 (en) 2017-11-11 2023-10-04 마이크로머티어리얼즈 엘엘씨 Gas delivery system for high pressure processing chamber
CN111373519B (en) 2017-11-16 2021-11-23 应用材料公司 High-pressure steam annealing treatment equipment
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102649241B1 (en) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 Seam healing using high pressure annealing
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
JP7239598B2 (en) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド High Pressure Annealing Process for Metal-Containing Materials
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7179172B6 (en) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド Method for etching structures for semiconductor applications
KR20210077779A (en) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Film Deposition Using Enhanced Diffusion Process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5530293A (en) * 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US20090104755A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US20090104790A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Methods for Forming a Dielectric Layer Within Trenches
US20090298257A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Device isolation technology on semiconductor substrate

Family Cites Families (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (en) 1986-01-21 1994-01-28 Seiko Epson Corp MINERAL PROTECTIVE FILM
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (en) 1990-03-07 1991-11-15 Hitachi Ltd Surface processing device
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
KR930009549B1 (en) 1990-11-28 1993-10-06 현대전자산업 주식회사 High resistivity attaining method of poly-silicon
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (en) 1994-11-15 1996-06-07 Fujitsu Ltd Manufacture of semiconductor device with insulation film
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JPH09237785A (en) 1995-12-28 1997-09-09 Toshiba Corp Semiconductor device and its manufacture
JP2871580B2 (en) 1996-03-29 1999-03-17 日本電気株式会社 Method for manufacturing semiconductor device
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (en) 1997-02-10 1999-03-12 Saint Gobain Vitrage TRANSPARENT SUBSTRATE EQUIPPED WITH AT LEAST ONE THIN LAYER BASED ON SILICON NITRIDE OR OXYNITRIDE AND ITS PROCESS FOR OBTAINING IT
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (en) 1997-11-20 2001-03-07 日本電気株式会社 Method for manufacturing semiconductor device
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (en) 1997-12-01 2000-04-15 윤종용 Semiconductor element trench isolation method
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
EP1095958B1 (en) 1999-10-25 2006-02-08 Dow Corning Corporation Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (en) 1999-11-12 2001-05-25 Sony Corp Method of manufacturing nitride iii-v compound semiconductor and semiconductor device
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
KR100498834B1 (en) 2000-04-04 2005-07-04 아사히 가세이 가부시키가이샤 Coating composition for the production of insulating thin films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (en) 2000-09-22 2009-03-04 東京エレクトロン株式会社 Excited gas forming apparatus, processing apparatus and processing method
JP3712356B2 (en) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド Film-forming method and semiconductor device manufacturing method
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (en) 2001-02-22 2002-12-11 삼성전자 주식회사 Method for forming inter layer dielectric film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (en) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
JP3990920B2 (en) 2001-03-13 2007-10-17 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP3924483B2 (en) 2001-03-19 2007-06-06 アイピーエス リミテッド Chemical vapor deposition equipment
KR20030093270A (en) 2001-03-23 2003-12-06 다우 코닝 코포레이션 Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (en) 2001-04-27 2004-10-15 Atofina PROCESS FOR PRODUCING AQUEOUS SOLUTIONS OF QUATERNARY AMMONIUM UNSATURATED SALTS
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (en) 2001-06-29 2003-01-17 Mitsubishi Electric Corp Semiconductor device and method of manufacturing same
KR100421046B1 (en) 2001-07-13 2004-03-04 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
EP1417474B1 (en) 2001-07-25 2021-12-29 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
AU2002323040A1 (en) 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (en) 2001-08-29 2004-04-30 삼성전자주식회사 Sti type semiconductor device and method of forming the same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (en) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 Silicon oxide film manufacturing method
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (en) 2002-01-10 2003-07-18 Toshiba Corp Semiconductor device and its manufacturing method
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3868324B2 (en) 2002-04-15 2007-01-17 三菱電機株式会社 Silicon nitride film forming method, film forming apparatus, and semiconductor device manufacturing method
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (en) 2002-04-25 2005-01-29 삼성전자주식회사 Method for Atomic Layer Deposition of silicon oxide film using HCD source
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) * 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (en) 2002-08-30 2004-03-25 Fasl Japan Ltd Semiconductor storage device and its manufacturing method
KR100459724B1 (en) 2002-09-11 2004-12-03 삼성전자주식회사 Semiconductor device having a SiN etch stopper by low temperature ALD and fabricating method the same
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (en) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for producing silicon nitride film or silicon oxynitride film by thermal chemical vapor deposition
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
JP4142941B2 (en) 2002-12-06 2008-09-03 株式会社東芝 Manufacturing method of semiconductor device
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (en) 2003-03-20 2004-10-14 Ushio Inc Method for producing chemotaxic function control membrane, artificial material and method for producing artificial material
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (en) 2003-04-24 2008-08-27 株式会社日立国際電気 Semiconductor raw materials
JP3976703B2 (en) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (en) 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (en) 2003-10-14 2009-06-24 東京エレクトロン株式会社 Film forming method and film forming apparatus
DE10350752A1 (en) 2003-10-30 2005-06-09 Infineon Technologies Ag A method of forming a dielectric on a copper-containing metallization and capacitor assembly
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (en) 2003-11-07 2009-06-03 株式会社島津製作所 Surface wave excitation plasma CVD equipment
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4678304B2 (en) 2004-02-17 2011-04-27 東亞合成株式会社 Method for manufacturing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (en) 2004-03-02 2009-06-17 株式会社アルバック Method for forming silicon nitride film
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (en) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 Vacuum processing equipment
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (en) 2004-04-07 2005-10-27 Toshiba Corp Semiconductor manufacturing equipment and semiconductor manufacturing method
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100580584B1 (en) 2004-05-21 2006-05-16 삼성전자주식회사 Method for cleaning a surface of a remote plasma generating tube and method and apparatus for processing a substrate using the same
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005121397A2 (en) 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (en) 2004-06-28 2010-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7521378B2 (en) * 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (en) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for manufacturing silicon nitride film
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (en) 2004-09-07 2006-02-08 삼성전자주식회사 Method for forming a layer in a semiconductor device and apparatus for performing the same
TW200619416A (en) 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (en) 2004-11-11 2007-12-07 삼성전자주식회사 Device for making semiconductor
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7282681B2 (en) 2005-05-05 2007-10-16 General Electric Company Microwave fabrication of airfoil tips
KR100731164B1 (en) 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
JP5091428B2 (en) * 2005-06-14 2012-12-05 株式会社東芝 Manufacturing method of semiconductor device
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (en) 2005-07-08 2012-01-25 富士通株式会社 Silica-based film forming material, silica-based film and manufacturing method thereof, multilayer wiring and manufacturing method thereof, and semiconductor device and manufacturing method thereof
JP2009500857A (en) 2005-07-08 2009-01-08 アヴィザ テクノロジー インコーポレイテッド Method for depositing silicon-containing film
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (en) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト Manufacturing method of organic siloxane insulating film, and manufacturing method of liquid crystal display device using organic siloxane insulating film manufactured by this manufacturing method as interlayer insulation
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (en) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (en) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP4618178B2 (en) 2006-03-27 2011-01-26 オムロン株式会社 Terminal and manufacturing method thereof
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR101304726B1 (en) 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (en) 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (en) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 Silicon oxide thin film forming equipment
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (en) 2007-02-27 2008-02-04 삼성전자주식회사 Method of fabricating semiconductor device
JP2008218684A (en) 2007-03-05 2008-09-18 Sony Corp Method of fabricating semiconductor device
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (en) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos semiconductor memory device
KR100866143B1 (en) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 Method for forming isolation layer of semiconductor device
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
CN101889331A (en) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP4935684B2 (en) 2008-01-12 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (en) * 2008-04-02 2009-11-12 Nec Electronics Corp Semiconductor memory and method of manufacturing the same
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
JP4638550B2 (en) 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP2010183069A (en) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing apparatus
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20120111738A (en) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (en) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド Flowable dielectrics using oxide liners
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (en) 2010-04-05 2011-11-04 Denso Corp Exhaust gas circulation device
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (en) 2010-10-14 2014-08-06 株式会社東芝 Manufacturing method of semiconductor device
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5530293A (en) * 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US20090104755A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. High quality silicon oxide films by remote plasma cvd from disilane precursors
US20090104790A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Methods for Forming a Dielectric Layer Within Trenches
US20090298257A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Device isolation technology on semiconductor substrate

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US11264234B2 (en) 2012-06-12 2022-03-01 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11894227B2 (en) 2012-06-12 2024-02-06 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US11680314B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11680315B2 (en) 2013-05-31 2023-06-20 Novellus Systems, Inc. Films of desired composition and film properties
US11708634B2 (en) 2013-05-31 2023-07-25 Novellus Systems, Inc. Films of desired composition and film properties
US11732350B2 (en) 2013-05-31 2023-08-22 Novellus Systems, Inc. Films of desired composition and film properties
US11049716B2 (en) 2015-04-21 2021-06-29 Lam Research Corporation Gap fill using carbon-based films
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
WO2020023385A1 (en) * 2018-07-24 2020-01-30 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Also Published As

Publication number Publication date
US20110217851A1 (en) 2011-09-08
JP2013521650A (en) 2013-06-10
TWI534290B (en) 2016-05-21
US8563445B2 (en) 2013-10-22
TW201142073A (en) 2011-12-01
KR20130014543A (en) 2013-02-07
SG183873A1 (en) 2012-10-30
CN102844848A (en) 2012-12-26
KR101853802B1 (en) 2018-05-02
WO2011109148A3 (en) 2012-02-23

Similar Documents

Publication Publication Date Title
US8563445B2 (en) Conformal layers by radical-component CVD
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US8647992B2 (en) Flowable dielectric using oxide liner
US8304351B2 (en) In-situ ozone cure for radical-component CVD
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
US8445078B2 (en) Low temperature silicon oxide conversion
US8466073B2 (en) Capping layer for reduced outgassing
US8329262B2 (en) Dielectric film formation using inert gas excitation
US20120177846A1 (en) Radical steam cvd
US20120083133A1 (en) Amine curing silicon-nitride-hydride films
US20120238108A1 (en) Two-stage ozone cure for dielectric films
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 201180018779.4

Country of ref document: CN

WWE Wipo information: entry into national phase

Ref document number: 2012556083

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20127026126

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 11751052

Country of ref document: EP

Kind code of ref document: A2