WO2012064491A2 - Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film - Google Patents

Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film Download PDF

Info

Publication number
WO2012064491A2
WO2012064491A2 PCT/US2011/057343 US2011057343W WO2012064491A2 WO 2012064491 A2 WO2012064491 A2 WO 2012064491A2 US 2011057343 W US2011057343 W US 2011057343W WO 2012064491 A2 WO2012064491 A2 WO 2012064491A2
Authority
WO
WIPO (PCT)
Prior art keywords
flow rate
layer
mgm
compounds
porogen
Prior art date
Application number
PCT/US2011/057343
Other languages
French (fr)
Other versions
WO2012064491A3 (en
Inventor
Mahendra Chhabra
Kang Sub Yim
Alexandros T. Demos
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to KR1020137015025A priority Critical patent/KR20130124511A/en
Priority to CN2011800538803A priority patent/CN103210479A/en
Priority to JP2013538761A priority patent/JP2014503991A/en
Publication of WO2012064491A2 publication Critical patent/WO2012064491A2/en
Publication of WO2012064491A3 publication Critical patent/WO2012064491A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase

Definitions

  • Embodiments of the present invention generally relate to the fabrication of integrated circuits. More particularly, the embodiments relate to process for depositing low dielectric constant films for integrated circuits.
  • One of the approaches that has been used to obtain an ultra low dielectric constant (k ⁇ 2.5) is to fabricate hybrid films of a silicon matrix and an organic porogen by depositing the hybrid films from a gas mixture comprising an organosilicon compound and a compound comprising thermally labile species or volatile groups (porogen) and then post-treat the deposited films with UV curing or thermal treatment to remove the thermally labile species or volatile groups of porogen from the deposited films, resulting in nanometer-sized voids in the films which lowers the dielectric constant of the films.
  • the nanoporous films are known to have less adhesion to underlying barrier/liner layers than silicon oxides.
  • Improvement of adhesion may be obtained by depositing an adhesion layer of oxide, which can enhance adhesion at the interface.
  • an adhesion layer of oxide which can enhance adhesion at the interface.
  • uncontrolled transition of both silicon and porogen flow in this gradient layer can cause undesirable gas phase reaction (due to variable changes of RF power, pressure, and flow rate etc.), causing particle clusters on the film or/and carbon bumps to form in the films or at the interfaces.
  • Embodiments of the present invention generally provide a method for depositing an ultra low dielectric constant film with novel process parameters.
  • the method includes flowing into the processing chamber a gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of one or more porogen compounds to deposit an initiation layer (oxide layer) on the substrate by applying a radio frequency (RF) power to the processing chamber, ramping-up the flow rate of the one or more organosilicon compounds until reaching a final flow rate of the one or more organosilicon compounds to deposit a first transition layer on the initiation layer, and while flowing the final flow rate of the one or more organosilicon compounds, ramping-up the flow rate of the one or more porogen compounds until reaching a final flow rate of the one or more porogen compounds to deposit a second transition layer on the first transition layer, wherein the depositions are performed at a low RF power between about 350W and about 500W, and a ratio of the RF power to a total flow rate is between about
  • the method includes providing a substrate bearing a liner/barrier layer, depositing a carbon-containing oxide adhesion layer over the liner/barrier layer at a deposition rate between about 1000 A/min and about 3500 A/min, comprising flowing into the processing chamber a gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of one or more porogen compounds to deposit an initiation layer on the substrate by applying a radio frequency (RF) power level of about 300W to about 600W at 13.56MHz to the processing chamber, ramping-up the flow rate of the one or more organosilicon compounds until reaching a final flow rate of the one or more organosilicon compounds to deposit a first transition layer on the initiation layer, and while flowing the final flow rate of the one or more organosilicon compounds, ramping-up the flow rate of the one or more porogen compounds until reaching a final flow rate of the one or more porogen compounds to deposit a second transition layer on the first transition layer, depositing a low K film
  • RF radio frequency
  • Figure 1A is a cross-sectional view of a dielectric film stack formed according to embodiments of the invention.
  • Figure 1 B is a close up of the cross-section of a portion of the film stack shown in Figure 1A.
  • Figure 2 is a process flow diagram illustrating a method of depositing an ultra low K nanoporous film stack according to one embodiment of the invention.
  • Figure 3 is a cross-sectional diagram of an exemplary processing chamber that may be used for practicing embodiments of the invention.
  • Figure 4 illustrates a depth profile of element concentrations in an organosilicate dielectric film stack by SIMS analysis.
  • the present invention provides a method of depositing a low dielectric constant film.
  • the low dielectric constant film comprises silicon, oxygen, hydrogen and carbon.
  • Embodiments of the invention have been proved to be able to significantly lower the K impact (dielectric constant) of an adhesion layer to an ultra low dielectric constant film stack by reducing the thickness of the adhesion layer.
  • the thickness non- uniformity for an ultra low dielectric film stack ⁇ 2kA is also reduced to less than 2%.
  • the improved oxide adhesion layer is deposited at lower deposition rate and lower plasma density in combination with higher total flow rate, resulting in better packing/ordering of the co-deposited species during film deposition which causes higher mechanical strength and lower porosity.
  • the improved adhesion layer provides high adhesion energy for better adhesion with ultra low dielectric constant films to underlying barrier/liner layers.
  • the resulting low dielectric film has nanometer-sized pores and tighter pore-size distribution.
  • the low dielectric constant film has a dielectric constant of about 3.0 or less, preferably about 2.5 or less.
  • the low dielectric constant film may have an elastic modulus of at least about 6.5 GPa or above.
  • FIG. 1A schematically illustrates a cross-sectional view of a dielectric film stack 100 formed according to embodiments of the present invention. While not shown here, it is contemplated that the dielectric film stack 100 of the present invention can be used as an inter-metal dielectric layer in a dual damascene structure, which may generally include one or more nanoporous inter-metal dielectric layers (not shown) and one or more etch stop layers (not shown) of silicon oxide, silicon nitride, silicon oxynitride, or amorphous hydrogenated silicon carbide that are deposited in an alternating or desired order.
  • a dual damascene structure which may generally include one or more nanoporous inter-metal dielectric layers (not shown) and one or more etch stop layers (not shown) of silicon oxide, silicon nitride, silicon oxynitride, or amorphous hydrogenated silicon carbide that are deposited in an alternating or desired order.
  • An anti-reflective coating (not shown) and a trench photomask (not shown) comprising a photoresist layer are then respectfully deposited over the deposited film layers and patterned by conventional photolithography techniques in a manner to develop a metallization structure to be filled with a desired metal such as copper.
  • the dual damascene formation process may be repeated to deposit a desired number of interconnection levels.
  • An exemplary dual damascene structure that may be benefited from the present invention is further. described in the commonly assigned U.S. Patent No. 7,547,643 issued on June 16, 2009 to Francimar Schmitt et al., which is incorporated by reference in its entirety.
  • the dielectric film stack 100 as shown in Figure 1A comprises a substrate 102 bearing a liner/barrier layer 104, which acts as an isolation layer between a subsequent adhesion layer 106 and the underlying substrate surface 103 and metal lines 108 formed on the substrate surface 103.
  • a low K layer 1 10 is deposited over the adhesion layer 106, which is capped by a capping layer 1 12.
  • FIG. 2 is a process flow diagram 200 illustrating a method of depositing a dielectric film stack 100 according to one embodiment of the invention.
  • a typical porous dielectric film requires simultaneous deposition of one or more organosilicon compounds, which becomes Si backbone, and one or more unsaturated non-silicon compounds having thermally labile groups, which acts as a sacrificial porogen.
  • a substrate 102 bearing a liner/barrier layer 104 is positioned on a substrate support in a processing chamber capable of performing Plasma-Enhanced Chemical Vapor Deposition (PECVD) process.
  • PECVD Plasma-Enhanced Chemical Vapor Deposition
  • the liner/barrier layer 104 may be deposited by a PECVD process from a plasma comprising a organosilane compound, ammonia, oxygen and inerts.
  • the deposition process can include a capacitively coupled plasma or both an inductively and a capacitively coupled plasma in the processing chamber according to methods known in the art.
  • the plasma can be generated using inert gases, such as He, Ar, and N 2 .
  • An inert gas such as helium is commonly used in the PECVD deposition to assist in plasma generation.
  • a gas mixture having a composition including one or more organosilicon compounds, one or more porogen compounds, and one or more oxidizing gases is introduced into the processing chamber through a gas distribution plate, such as a showerhead.
  • a gas distribution plate such as a showerhead.
  • An initial gas composition of oxygen and/or helium may be introduced into the processing chamber before initiation of the RF power to stabilize the conditions for the subsequent depositions.
  • the one or more organosilicon compounds are introduced into the chamber at a flow rate between about 200 milligrams/minute to about 5000 milligrams/minute, for example, between about 350 milligrams/minute and about 2500 milligrams/minute; the one or more oxidizing gases are introduced into the chamber at a flow rate between about 100 seem and about 1000 seem, for example, between about 125 seem and about 550 seem; and the one or more porogen compounds are introduced into the chamber at a flow rate between about 50 milligrams/minute to about 5000 milligrams/minute, for example, between about 150 grams/minute and about 1500 grams/minute.
  • a radio-frequency (RF) power is applied to an electrode, such as the showerhead, in order to provide plasma processing conditions in the chamber.
  • Suitable RF power may be a power in a range of about 10W to about 2000W, such as about 300W to about 600W at a frequency of about 13.56MHz.
  • the gas mixture is reacted in the chamber in the presence of RF power to deposit an initiation layer 106a comprising an oxide layer that adheres strongly to the underlying liner/barrier layer 104.
  • the gas mixture may optionally include one or more carrier gases.
  • one or more carrier gases are introduced with the one or more organosilicon compounds and the one or more porogen compounds into the processing chamber.
  • carrier gases include helium, argon, carbon dioxide, and combinations thereof.
  • the helium gas are introduced into the chamber along with one or more organosilicon compound at a flow rate between about 1500 seem and about 8000 seem, for example, between about 3500 seem and about 5500 seem.
  • helium gas are introduced into the chamber at a flow rate between about 300 seem and about 1800 seem, for example, between about 700 seem and about 1250 seem.
  • the initiation layer 106a generally includes a silicon oxide layer. As will be discussed below, the initiation layer 106a and a first and second transition layers 106b, 106c ( Figure 1 B) constitute the adhesion layer 106 that enhances adhesion between the underlying liner/barrier layer 104 and the subsequent low K layer 1 10.
  • the initiation layer deposition may have a time range of between about 0.5 seconds and about 10 seconds, as long as the deposition period is long enough to ensure cohesion of the entire film. In one example, the initiation layer deposition may last for about 1 second.
  • the initiation layer 106a may be deposited to a thickness in a range of about 5 A to about 100 A, preferably about 10 A to about 50 A.
  • the times for the various periods described in this disclosure may be adjusted depending on the needs of particular embodiments. For example, while a time range of about 0.5 seconds to about 10 seconds is described, in some embodiments, the initiation period may last for 0 seconds. An initiation period of 0 seconds means that changing flow rates of gas streams begins immediately upon introducing them to the chamber. Thus, embodiments with no initiation period are contemplated.
  • a separate transition step is performed prior to deposition of the low K layer 1 10, to prevent any unwanted particle clusters from forming in the films due to undesirable gas phase reaction of both silicon and porogen flows occurring at the gas distribution plate. It has also been observed that the smooth transition of the liquids into the chamber can significantly reduce the occurrence of carbon bumps. These issues may be addressed by separating the transition of two liquid precursors (i.e., organosilicon compounds and porogen compounds) at a desired ramping rate. In a first period of the separate transition step 206, or simply referring to step 206, the flow rate of the one or more organosilicon compounds is gradually increased at a ramp-up rate between about 100 mgm/sec.
  • first transition layer 106b (see Figure 1 B, which is a close up of the cross-section of the film stack shown in Figure 1A) on the initiation layer 106a until reaching a predetermined organosilicon compound gas mixture.
  • a helium carrier gas the flow rate of the one or more organosilicon compounds and the helium gas may be decreased to a range between about 2500 seem and about 4000 seem.
  • the first transition layer deposition may have a time range of between about 0.5 second and about 10 seconds. In one example, the first transition layer deposition time may be about 1 second.
  • the first transition layer 106b may be deposited to a thickness in a range of about 10 A to about 300 A, for example, about 50 A to about 200 A.
  • the flow rate of the one or more porogen compounds is gradually increased at a ramp- up rate between about 100 mgm/sec. and about 5000 mgm/sec, for example, between about 200 mgm/sec. and about 350 mgm/sec, such as about 300 mgm/sec, to deposit a second transition layer 106c ( Figure 1 B) onto the first transition layer 106b until reaching a predetermined final gas mixture.
  • a helium carrier gas the flow rate of the one or more porogen compounds and helium gas may be increased to a range between about 800 seem and about 2000 seem.
  • the second transition layer deposition may have a time range of between about 1 second and about 180 seconds. In one example, the second transition layer deposition time may be about 3 seconds.
  • the second transition layer 106c may be deposited to a thickness in a range of about 10 A to about 600 A, preferably, about 100 A to about 400 A.
  • the deposition periods of the initiation layer 106a and the first and second transition layers 106b, 106c preferably result in deposition of a thin portion 106 of the film stack (106a, 106b, 106c) as shown.
  • This thin portion 106 of the film stack serves as an adhesion layer for better adhesion with ultra low dielectric constant films to underlying barrier/liner layers. In most embodiments, the thickness of this portion is reduced by almost half, e.g., less than about 200 Angstroms. Deposition of the thin portion 106 of the film stack (106a, 106b, 106c) may be achieved through relatively short duration and/or low deposition rate.
  • the deposition rate for the thin portion of the film stack is between about 1000 Angstroms/minute to about 3500 Angstroms/minute, such as about 2500 Angstroms/minute.
  • the thin portion 106 of the film stack constitutes significant portion of an ultra low K nanoporous film stack (106a, 106b, 106c, 110) having a thickness less than 2000 Angstroms
  • the thickness non- uniformity for the dielectric film stack 100 can be reduced to less than 2% by lowering the thickness of the thin portion of the film stack.
  • the reduced thickness of the thin portion 106 of the film stack (106a, 106b, 106c) minimizes the K impact to the overall nanoporous film stack.
  • a plasma of the final gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of the one or more porogen compounds is formed to deposit a porogen-containing organosilicate dielectric layer, i.e., the low K layer 1 10.
  • the low K layer deposition may have a time range of between about 15 second and about 180 seconds. In one example, the final layer deposition time may be about 130 seconds.
  • the low K layer 1 10 may be deposited to a thickness in a range of about 200 A to about 10,000 A until the RF power is terminated.
  • organosilicon compounds and the porogen compounds by separating the ramp-up rates of the organosilicon compounds and the porogen compounds, a more stable and manufacturable process can be obtained, yielding organosilicate dielectric layers with significantly less defect issues, such as carbon bumps.
  • step 208, depositing the second transition layer 106c may be combined with step 210, depositing the final porogen silicon oxide layer.
  • the porogen compound flow rate is continuously ramped-up while flowing the predetermined organosilicon compound gas mixture during the porogen silicon oxide layer deposition.
  • the combination of step 208 with step 210 may have a time range of between about 1 second and about 180 seconds.
  • the final porogen silicon oxide layer may have a gradient concentration of porogen where the concentration of porogen in the silicon oxide layer increases as the porogen silicon oxide layer is deposited.
  • This gradient layer may be deposited to a thickness in a range of about 50 A to about 10,000 A, preferably, about 100 A to about 5000 A, until the RF power is terminated.
  • the substrate is typically maintained at a temperature between about 100°C and about 400°C, for example between about 200°C and about 350°C.
  • the chamber pressure may be between about 1 Torr and about 20 Torr, for example between about 7 Torr and about 9 Torr, and the spacing between a substrate support and the chamber showerhead may be between about 200 mils and about 1500 mils, for example, between about 280 mils and about 450 mils.
  • a RF power level of between about 100W and about 600W for a 300 mm substrate may be used.
  • the RF power is provided at a frequency between about 0.01 MHz and 300 MHz, such as about 13.56 MHz.
  • the RF power may be provided at a mixed frequency, such as at a high frequency of about 13.56 MHz and a low frequency of about 350 kHz.
  • the RF power may be cycled or pulsed to reduce heating of the substrate and promote greater porosity in the deposited film.
  • the RF power may also be continuous or discontinuous, depending upon application.
  • lower plasma density in combination with higher total flow rate is used.
  • a RF power level of between about 300W and about 600W for example, between about 350W and about 500W, may be used.
  • a RF power/total flow rate of about 0.1 W/sccm to about 0.3 W/sccm is preferred.
  • a RF power/total volume flow of about 0.2 W/cm 3 to about 0.5 W/cm 3 is preferred.
  • total flow rate or “total volume flow” as used herein is intended to refer to the flows/volumes of the gas mixture and optional carrier gases introduced into the processing chamber during the deposition, as discussed previously. It has been observed by the present inventors that the use of lower plasma density in combination with higher total flow rate may allow for a denser packing of the co-deposited species during film deposition, resulting in higher mechanical strength, smaller pore size ( ⁇ 10 A), and tighter pore-size distribution. This leads to significant improvement in the mechanical integrity of the film by increasing the damage resistance of the film to subsequent device manufacturing processes.
  • a porogen-containing organosilicate dielectric layer is deposited from a process gas mixture comprising an organosilicon compound and a porogen.
  • the organosilicate layer may be used as a dielectric layer.
  • the dielectric layer may be used at different levels within a dual damascene structure or a suitable device.
  • the dielectric layer may be used as a premetal dielectric layer, an inter-metal dielectric layer, or a gate dielectric layer.
  • the organosilicate layer deposited in accordance with various embodiments of the present invention has been proved to be able to provide a low dielectric constant less than 3.0, for example, about 2.5.
  • the gas mixture includes one or more organosilicon compounds (e.g., a first and a second organosilicon compound), one or more porogen compounds, a carrier gas, and an oxidizing gas.
  • organosilicon compounds e.g., a first and a second organosilicon compound
  • porogen compounds e.g., a first and a second organosilicon compound
  • carrier gas e.g., a carrier gas
  • an oxidizing gas e.g., oxidizing gas.
  • organosilicon compound as used herein is intended to refer to silicon-containing compounds including carbon atoms in organic groups.
  • the organosilicon compound may include one or more cyclic organosilicon compounds, one or more aliphatic organosilicon compounds, or a combination thereof.
  • organosilicon compounds include methyldiethoxysilane (mDEOS), tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), trimethylsilane (TMS), pentamethylcyclopentasiloxane, hexamethylcyclotrisiloxane, dimethyldisiloxane, tetrasilano-2,6-dioxy-4,8-dimethylene, tetramethyldisiloxane, hexamethyldisiloxane (HMDS), 1 ,3-bis(silanomethylene)disiloxane, bis(1- methyldisiloxanyl)methane, bis(1-methyldisiloxanyl)propane, hexamethoxydisiloxane (HMDOS), dimethyldimethoxysilane (DMDMOS), and dimethoxymethylvinylsilane (DM
  • the one or more organosilicon compounds may be introduced into the processing chamber at a flow rate in a range of about 200 milligrams/minute to about 5000 milligrams/minute, for example, between about 350 milligrams/minute and about 2500 milligrams/minute.
  • the term "porogen compound” as used herein is intended to refer to compounds that comprise thermally labile groups.
  • the thermally labile groups may be cyclic groups, such as unsaturated cyclic organic groups.
  • the term "cyclic group” as used herein is intended to refer to a ring structure.
  • the ring structure may contain as few as three atoms.
  • the atoms may include carbon, nitrogen, oxygen, fluorine, and combinations thereof, for example.
  • the cyclic group may include one or more single bonds, double bonds, triple bonds, and any combination thereof.
  • a cyclic group may include one or more aromatics, aryls, phenyls, cyclohexanes, cyclohexadienes, cycloheptadienes, and combinations thereof.
  • the cyclic group may also be bi-cyclic or tri-cyclic.
  • the cyclic group is bonded to a linear or branched functional group.
  • the linear or branched functional group preferably contains an alkyi or vinyl alkyi group and has between one and twenty carbon atoms.
  • the linear or branched functional group may also include oxygen atoms, such as in a ketone, ether, and ester.
  • the porogen may comprise a cyclic hydrocarbon compound.
  • Some exemplary porogens that may be used include norbornadiene (BCHD, bicycle(2.2.1)hepta-2,5-diene), 1-methyl-4-(1- methylethyl)-1 ,3-cyclohexadiene (ATP or alpha-Terpinene), vinylcyclohexane (VCH), phenylacetate, butadiene, isoprene, cyclohexadiene, bicycloheptadiene, 1-methyl-4- (l-methylethyl)-benzene (Cymene), 3-carene, fenchone, limonene, cyclopentene oxide, vinyl-1 ,4-dioxinyl ether, vinyl furyl ether, vinyl-1 ,4-dioxin, vinyl furan, methyl furoate, furyl formate, furyl acetate, furaldehyde, difuryl ketone, difuryl ether, difurfuryl ether, furan,
  • the one or more porogen compounds may be introduced into the processing chamber at a flow rate in a range of about 50 milligrams/minute to about 5000 milligrams/minute, for example, between about 150 milligrams/minute and about 1500 milligrams/minute.
  • the gas mixture may optionally include one or more carrier gases.
  • one or more carrier gases are introduced with the one or more organosilicon compounds and the one or more porogen compounds into the processing chamber.
  • carrier gases that may be used include helium, argon, carbon dioxide, and combinations thereof.
  • the one or more carrier gases may be introduced into the processing chamber at a flow rate less than about 20,000 seem, depending in part upon the size of the interior of the chamber. Preferably the flow of carrier gas is in a range of about 500 seem to about 5000 seem.
  • an inert gas such as helium or argon is put into the processing chamber to stabilize the pressure in the chamber before reactive process gases are introduced.
  • the gas mixture also includes one or more oxidizing gases.
  • Suitable oxidizing gases include oxygen (O 2 ), ozone (O 3 ), nitrous oxide (N 2 O), carbon monoxide (CO), carbon dioxide (CO 2 ), and combinations thereof.
  • the flow of oxidizing gas may be in a range of about 100 seem to about 3,000 seem, depending in part upon the size of the interior of the chamber. Typically, the flow of oxidizing gas is in a range of about 100 seem to about 1 ,000 seem, for example about 450 seem. Disassociation of oxygen or the oxygen containing compounds may occur in a microwave chamber prior to entering the deposition chamber and/or by RF power as applied to process gas within the chamber.
  • the film is post-treated.
  • the film may be post-treated with a thermal annealing, alone or assisted by UV radiation to remove the organic labile and create pore inclusions into the final material.
  • the low dielectric constant film is post-treated with a UV curing process.
  • the UV post-treatment may be performed in-situ within the same processing chamber or system, for example, transferred from one chamber to another without a break in vacuum.
  • Exemplary UV post-treatment conditions that may be used include a chamber pressure of between about 1 Torr and about 10 Torr and a substrate support temperature of between about 350°C and about 500°C.
  • the source of ultraviolet radiation may be between about 100 mils and about 1400 mils from the substrate surface.
  • a processing gas may be introduced during the ultraviolet curing process.
  • Suitable processing gases include oxygen (O 2 ), nitrogen (N 2 ), hydrogen (H 2 ), helium (He), argon (Ar), water vapor (H 2 O), carbon monoxide, carbon dioxide, hydrocarbon gases, fluorocarbon gases, and fluorinated hydrocarbon gases, or combinations thereof.
  • the UV radiation may be provided by any UV source, such as mercury microwave arc lamps, pulsed xenon flash lamps, or high-efficiency UV light emitting diode arrays.
  • the ultraviolet radiation may comprise a range of ultraviolet wavelengths, and include one or more simultaneous wavelengths. Suitable ultraviolet wavelengths include between about 1 nm and about 400 nm, and may further include optical wavelengths up to about 600 or 780 nm. Additionally or alternatively, the ultraviolet radiation may be applied at multiple wavelengths, a tunable wavelength emission and tunable power emission, or a modulation between a plurality of wavelengths as desired, and may be emitted from a single UV lamp or applied from an array of ultraviolet lamps.
  • UV lamps examples include a Xe filled ZeridexTM UV lamp, a Ushio Excimer UV lamp, a DSS UV lamp, or a Hg Arc Lamp.
  • the deposited low dielectric constant film is exposed to the ultraviolet radiation for between about 10 seconds and about 600 seconds, for example between about 60 seconds and about 600 seconds.
  • the UV radiation may have a wavelength of between about 170 nm and about 400 nm, for example. Further details of UV chambers and treatment conditions that may be used are described in commonly assigned U.S. Patent Application Serial No. 1 1/124,908, filed on May 9, 2005, which is incorporated by reference herein.
  • the NanoCureTM chamber from Applied Materials, Inc. is an example of a commercially available chamber that may be used for UV post-treatments.
  • the low dielectric constant film is post-treated with a thermal or plasma enhanced annealing process.
  • the film may be annealed at a temperature between about 200°C and about 400°C for about 2 seconds to about 1 hour, preferably about 30 minutes, in a chamber.
  • a non-reactive gas such as helium, hydrogen, nitrogen, or a mixture thereof may be introduced at a rate of about 100 seem to about 10,000 seem.
  • the chamber pressure is maintained between about 1 Torr and about 10 Torr.
  • the RF power during the annealing is about 200 W to about 1 ,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils.
  • Annealing the low dielectric constant film at a substrate temperature of about 200°C to about 400°C after the low dielectric constant film is deposited volatilizes at least some of the organic groups in the film, forming voids in the film.
  • the low dielectric constant film is post-treated with an electron beam treatment.
  • exemplary electron beam conditions that may be used include a chamber temperature of between about 200°C and about 600°C, e.g. about 350°C to about 400°C.
  • the electron beam energy may be from about 0.5 keV to about 30 keV.
  • the exposure dose may be between about 1 ⁇ / ⁇ 2 and about 400 ⁇ /cm 2 .
  • the chamber pressure may be between about 1 mTorr and about 100 mTorr.
  • the gas ambient in the chamber may be any of the following gases: nitrogen, oxygen, hydrogen, argon, a blend of hydrogen and nitrogen, ammonia, xenon, or any combination of these gases.
  • the electron beam current may be between about 0.15 mA and about 50 mA.
  • the electron beam treatment may be performed for between about 1 minute and about 15 minutes.
  • an exemplary electron beam chamber that may be used is an EBkTM electron beam chamber available from Applied Materials, Inc. of Santa Clara, CA.
  • the e-beam curing process improves mechanical strength of the deposited film network and also lowers the k-value.
  • the energized e-beam alters the chemical bonding in the molecular network of the deposited film and removes at least a portion of the molecular groups, such as organic components from the ring of the one or more oxygen-free hydrocarbon compounds comprising one ring and one or two carbon-carbon double bonds in the ring, from the film.
  • the removal of the molecular groups creates voids or pores within the film, lowering the K value.
  • Figure 3 shows a cross-sectional, schematic diagram of a chemical vapor deposition (CVD) chamber 300 for depositing a carbon-doped silicon oxide layer.
  • CVD chemical vapor deposition
  • the deposition chamber 300 has a chamber body 302 that defines separate processing regions 318, 320. Each processing region 318, 320 has a pedestal 328 for supporting a substrate (not seen) within the chamber 300.
  • the pedestal 328 typically includes a heating element (not shown).
  • the pedestal 328 is movably disposed in each processing region 318, 320 by a stem 326 which extends through the bottom of the chamber body 302 where it is connected to a drive system 303.
  • Internally movable lift pins are preferably provided in the pedestal 328 to engage a lower surface of the substrate. The lift pins are engaged by a lift mechanism (not shown) to receive a substrate before processing, or to lift the substrate after deposition for transfer to the next station.
  • Each of the processing regions 318, 320 also preferably includes a gas distribution assembly 308 disposed through a chamber lid 304 to deliver gases into the processing regions 318, 320.
  • the gas distribution assembly 308 of each processing region normally includes a gas inlet passage 340 through manifold 348 which delivers gas from a gas distribution manifold 319 through a blocker plate 346 and then through a showerhead 342.
  • the showerhead 342 includes a plurality of nozzles (not shown) through which gaseous mixtures are injected during processing.
  • An RF (radio frequency) supply 325 provides a bias potential to the showerhead 342 to facilitate generation of a plasma between the showerhead and the pedestal 328.
  • the deposition process performed in the deposition chamber 300 can be either a non-plasma process on a cooled substrate pedestal 328 or a plasma enhanced process.
  • a controlled plasma is typically formed adjacent to the substrate by RF energy applied to the showerhead 342 from RF power supply 325 (with pedestal 328 grounded).
  • the RF power supply 325 can be provided to the pedestal 328, or to different components at different frequencies.
  • the plasma may be generated using high frequency RF (HFRF) power, as well as low frequency RF (LFRF) power (e.g., dual frequency RF), constant RF, pulsed RF, or any other known or yet to be discovered plasma generation technique.
  • the RF power supply 325 can supply a single frequency RF between about 5 MHz and about 300 MHz.
  • the RF power supply 325 may also supply a low frequency RF between about 300 Hz to about 1 ,000 kHz to supply a mixed frequency to enhance the decomposition of reactive species of the process gas introduced into the process chamber.
  • the RF power may be cycled or pulsed to reduce heating of the substrate and promote greater porosity in the deposited film.
  • Suitable RF power may be a power in a range of about 10W to about 5000W, for example in a range of about 200W to about 600 W.
  • Suitable LFRF power may be a power in a range of about 0W to about 5000W, for example in a range of about 0W to about 200W.
  • a system controller 334 controls the functions of various components such as the RF power supply 325, the drive system 303, the lift mechanism, the gas distribution manifold 319, and other associated chamber and/or processing functions.
  • the system controller 334 executes system control software stored in a memory 338, which in the preferred embodiment is a hard disk drive, and can include analog and digital input/output boards, interface boards, and stepper motor controller boards.
  • Optical and/or magnetic sensors are generally used to move and determine the position of movable mechanical assemblies.
  • the embodiments of the present invention demonstrate deposition of ultra low K nanoporous films having dispersed microscopic gas voids.
  • the following process parameters and ranges are generally beneficial to main and/or adhesion layer deposition process:
  • ramp-up rates for one or more organosilicon compounds and one or more porogen compounds in various transitions are generally between 800 mgm/sec and 1200 mgm/sec and between about 200 mgm/sec. and about 350 mgm/sec, respectively.
  • only one of the flows of the organosilicon compounds and the porogen compounds is changing during various transition steps to prevent any defects in the films, as discussed previously.
  • porogen-containing organosilicate dielectric layers were deposited on a substrate.
  • the film was deposited using a PECVD chamber (i.e., CVD chamber) on a PRODUCER ® system, available from Applied Materials, Inc. of Santa Clara, California. During deposition the chamber pressure was maintained at a pressure of about 6.5 Torr and the substrate was maintained at a temperature of about 270°C.
  • the substrate was positioned on a substrate support disposed within a process chamber. The substrate was positioned 450 mils from the chamber showerhead.
  • the process gas mixture having an initial gas composition of 300 seem oxygen and 3800 seem helium was introduced into the chamber and flow rates stabilized before initiation of the RF power. Subsequently, a RF power level of about 600 W at 13.56 MHz was applied to the showerhead to form a plasma of a gas mixture including a methyldiethoxysilane (mDEOS) introduced into the chamber at a flow rate of about 600 mgm to deposit a silicon oxide initiation layer. After initiation of the RF power for about 1 second, the flow rate of mDEOS was increased to 2200 mgm. at a ramp-up rate of about 1000 mgm/sec. for about 1 second. In addition, the flow of helium was decreased to about 3000 seem.
  • mDEOS methyldiethoxysilane
  • a flow of BCHD was introduced into the chamber at a ramp-up rate of about 400 mgm/sec. for about 3 seconds to reach a porogen deposition flow rate of about 1300 mgm.
  • the final gas mixture composition also includes 3000 seem helium and 225 seem oxygen.
  • the RF power is terminated to stop further deposition. After RF power termination, the chamber throttle valve is opened to allow the process gas mixture to be pumped out of the chamber. The separate transition of the liquid precursors into the chamber reduces the defects in the films.
  • a secondary-ion mass spectrometry (SIMS) analysis was performed to analyze the depth profile of element concentrations in a dielectric film stack, as shown in Figure 4.
  • the depth distribution of carbon shows a smooth phase shift of carbon in the films, suggesting that no carbon bumps occurred in the films using the exemplary process.
  • Embodiments of the invention have been proved to be able to significantly lower the K impact of an oxide adhesion layer to an ultra low dielectric film stack by reducing the thickness of the adhesion layer that is deposited with novel process parameters.
  • the thickness non-uniformity for an ultra low dielectric film stack ⁇ 2kA
  • the improved oxide adhesion layer is deposited at lower deposition rate of about 2400A/min.
  • the improved adhesion layer provides good enough adhesion energy (-4.5 J/m 2 ) for better adhesion with ultra low dielectric constant films to underlying barrier/liner layers.
  • the resulting ultra low K nanoporous films has smaller pore radius of between about 7A and about 10A and tighter pore-size distribution with porosity in the range about 15% and about 25%.

Abstract

An improved method for depositing an ultra low dielectric constant film stack is provided. Embodiments of the invention minimize k (dielectric constant) impact from initial stages of depositing the ultra low dielectric constant film stack by reducing a thickness of an oxide adhesion layer in the ultra low dielectric film stack, thereby lowering the thickness non-uniformity of the film stack to less than 2%. The improved process deposits the oxide adhesion layer and the bulk layer in the ultra low dielectric film stack at lower deposition rate and lower plasma density in combination with higher total flow rate, resulting in better packing/ordering of the co-deposited species during film deposition which causes higher mechanical strength and lower porosity.

Description

PROCESS FOR LOWERING ADHESION LAYER THICKNESS AND IMPROVING DAMAGE RESISTANCE FOR THIN ULTRA LOW-K DIELECTRIC FILM
BACKGROUND OF THE INVENTION
Field of the Invention
[0001] Embodiments of the present invention generally relate to the fabrication of integrated circuits. More particularly, the embodiments relate to process for depositing low dielectric constant films for integrated circuits.
Description of the Related Art
[0002] Semiconductor device geometries have dramatically decreased in size since such devices were first introduced several decades ago. Since then, integrated circuits have generally followed the two year/half-size rule (often called Moore's Law), which means that the number of devices that will fit on a chip doubles every two years. Today's fabrication plants are routinely producing devices having 65 nm and even 45 nm feature sizes, and tomorrow's plants soon will be producing devices having even smaller geometries.
[0003] The continued reduction in device geometries has generated a demand for films having lower dielectric constant (k) values because the capacitive coupling between adjacent metal lines must be minimized to further reduce the size of devices on integrated circuits in Cu dual damascene interconnect process technology. One of the approaches that has been used to obtain an ultra low dielectric constant (k<2.5) is to fabricate hybrid films of a silicon matrix and an organic porogen by depositing the hybrid films from a gas mixture comprising an organosilicon compound and a compound comprising thermally labile species or volatile groups (porogen) and then post-treat the deposited films with UV curing or thermal treatment to remove the thermally labile species or volatile groups of porogen from the deposited films, resulting in nanometer-sized voids in the films which lowers the dielectric constant of the films. [0004] The nanoporous films are known to have less adhesion to underlying barrier/liner layers than silicon oxides. Improvement of adhesion may be obtained by depositing an adhesion layer of oxide, which can enhance adhesion at the interface. To further improve adhesion, it has been suggested to use a gradient layer with increasing carbon content gradually between adhesion and main low-K film deposition step. However, uncontrolled transition of both silicon and porogen flow in this gradient layer can cause undesirable gas phase reaction (due to variable changes of RF power, pressure, and flow rate etc.), causing particle clusters on the film or/and carbon bumps to form in the films or at the interfaces.
[0005] In addition, it has been reported that ultra low dielectric constant films developed as described above exhibit less than desirable mechanical properties, such as poor mechanical strength (modulus =4GPa), which renders the films susceptible to damage during subsequent semiconductor processing steps. Moreover, since an oxide adhesion layer that is currently used for better adhesion with ultra low dielectric constant films to underlying barrier/liner layers constitutes major portion for the dielectric film stack and typically has higher dielectric constant (k=3.5) and very high thickness non-uniformity, the overall dielectric constant and thickness non-uniformity of the resulting dielectric film stack have not been reduced as expected.
[0006] Therefore, there is a need for a process of making ultra low dielectric constant materials with improved mechanical strength, lowered thickness non- uniformity, and minimize k (dielectric constant) increase from initial stages of depositing the ultra low dielectric constant materials, without compromising the controllability for lower application thickness.
SUMMARY OF THE INVENTION
[0007] Embodiments of the present invention generally provide a method for depositing an ultra low dielectric constant film with novel process parameters. In one embodiment, the method includes flowing into the processing chamber a gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of one or more porogen compounds to deposit an initiation layer (oxide layer) on the substrate by applying a radio frequency (RF) power to the processing chamber, ramping-up the flow rate of the one or more organosilicon compounds until reaching a final flow rate of the one or more organosilicon compounds to deposit a first transition layer on the initiation layer, and while flowing the final flow rate of the one or more organosilicon compounds, ramping-up the flow rate of the one or more porogen compounds until reaching a final flow rate of the one or more porogen compounds to deposit a second transition layer on the first transition layer, wherein the depositions are performed at a low RF power between about 350W and about 500W, and a ratio of the RF power to a total flow rate is between about 0.1 W/sccm and about 0.3 W/sccm. Various processing parameters and precursors are further discussed in the detailed description.
[0008] In another embodiment, the method includes providing a substrate bearing a liner/barrier layer, depositing a carbon-containing oxide adhesion layer over the liner/barrier layer at a deposition rate between about 1000 A/min and about 3500 A/min, comprising flowing into the processing chamber a gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of one or more porogen compounds to deposit an initiation layer on the substrate by applying a radio frequency (RF) power level of about 300W to about 600W at 13.56MHz to the processing chamber, ramping-up the flow rate of the one or more organosilicon compounds until reaching a final flow rate of the one or more organosilicon compounds to deposit a first transition layer on the initiation layer, and while flowing the final flow rate of the one or more organosilicon compounds, ramping-up the flow rate of the one or more porogen compounds until reaching a final flow rate of the one or more porogen compounds to deposit a second transition layer on the first transition layer, depositing a low K film over the adhesion layer, and curing the deposited low K film to form nanopores therein. Various processing parameters and precursors are further discussed in the detailed description. BRIEF DESCRIPTION OF THE DRAWINGS
[0009] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0010] Figure 1A is a cross-sectional view of a dielectric film stack formed according to embodiments of the invention.
[0011] Figure 1 B is a close up of the cross-section of a portion of the film stack shown in Figure 1A.
[0012] Figure 2 is a process flow diagram illustrating a method of depositing an ultra low K nanoporous film stack according to one embodiment of the invention.
[0013] Figure 3 is a cross-sectional diagram of an exemplary processing chamber that may be used for practicing embodiments of the invention.
[0014] Figure 4 illustrates a depth profile of element concentrations in an organosilicate dielectric film stack by SIMS analysis.
DETAILED DESCRIPTION
[0015] The present invention provides a method of depositing a low dielectric constant film. The low dielectric constant film comprises silicon, oxygen, hydrogen and carbon. Embodiments of the invention have been proved to be able to significantly lower the K impact (dielectric constant) of an adhesion layer to an ultra low dielectric constant film stack by reducing the thickness of the adhesion layer. By lowering the adhesion layer thickness to about or less than 200A, the thickness non- uniformity for an ultra low dielectric film stack (<2kA) is also reduced to less than 2%. As will be discussed below, the improved oxide adhesion layer is deposited at lower deposition rate and lower plasma density in combination with higher total flow rate, resulting in better packing/ordering of the co-deposited species during film deposition which causes higher mechanical strength and lower porosity. The improved adhesion layer provides high adhesion energy for better adhesion with ultra low dielectric constant films to underlying barrier/liner layers. The resulting low dielectric film has nanometer-sized pores and tighter pore-size distribution. The low dielectric constant film has a dielectric constant of about 3.0 or less, preferably about 2.5 or less. The low dielectric constant film may have an elastic modulus of at least about 6.5 GPa or above.
[0016] Figure 1A schematically illustrates a cross-sectional view of a dielectric film stack 100 formed according to embodiments of the present invention. While not shown here, it is contemplated that the dielectric film stack 100 of the present invention can be used as an inter-metal dielectric layer in a dual damascene structure, which may generally include one or more nanoporous inter-metal dielectric layers (not shown) and one or more etch stop layers (not shown) of silicon oxide, silicon nitride, silicon oxynitride, or amorphous hydrogenated silicon carbide that are deposited in an alternating or desired order. An anti-reflective coating (not shown) and a trench photomask (not shown) comprising a photoresist layer are then respectfully deposited over the deposited film layers and patterned by conventional photolithography techniques in a manner to develop a metallization structure to be filled with a desired metal such as copper. The dual damascene formation process may be repeated to deposit a desired number of interconnection levels. An exemplary dual damascene structure that may be benefited from the present invention is further. described in the commonly assigned U.S. Patent No. 7,547,643 issued on June 16, 2009 to Francimar Schmitt et al., which is incorporated by reference in its entirety.
[0017] Generally, the dielectric film stack 100 as shown in Figure 1A comprises a substrate 102 bearing a liner/barrier layer 104, which acts as an isolation layer between a subsequent adhesion layer 106 and the underlying substrate surface 103 and metal lines 108 formed on the substrate surface 103. A low K layer 1 10 is deposited over the adhesion layer 106, which is capped by a capping layer 1 12. Methods of depositing such a dielectric film stack 100 according to various embodiments of the invention will be described briefly with respect to Figure 2 in conjunction with Figure 1 B.
Exemplary Process for Deposition of Organosilicate Layers
[0018] Figure 2 is a process flow diagram 200 illustrating a method of depositing a dielectric film stack 100 according to one embodiment of the invention. Generally, a typical porous dielectric film requires simultaneous deposition of one or more organosilicon compounds, which becomes Si backbone, and one or more unsaturated non-silicon compounds having thermally labile groups, which acts as a sacrificial porogen. In step 202, a substrate 102 bearing a liner/barrier layer 104 is positioned on a substrate support in a processing chamber capable of performing Plasma-Enhanced Chemical Vapor Deposition (PECVD) process. The liner/barrier layer 104 may be deposited by a PECVD process from a plasma comprising a organosilane compound, ammonia, oxygen and inerts. The deposition process can include a capacitively coupled plasma or both an inductively and a capacitively coupled plasma in the processing chamber according to methods known in the art. The plasma can be generated using inert gases, such as He, Ar, and N2. An inert gas such as helium is commonly used in the PECVD deposition to assist in plasma generation.
[0019] In step 204, a gas mixture having a composition including one or more organosilicon compounds, one or more porogen compounds, and one or more oxidizing gases is introduced into the processing chamber through a gas distribution plate, such as a showerhead. An initial gas composition of oxygen and/or helium may be introduced into the processing chamber before initiation of the RF power to stabilize the conditions for the subsequent depositions.
[0020] In one embodiment, the one or more organosilicon compounds are introduced into the chamber at a flow rate between about 200 milligrams/minute to about 5000 milligrams/minute, for example, between about 350 milligrams/minute and about 2500 milligrams/minute; the one or more oxidizing gases are introduced into the chamber at a flow rate between about 100 seem and about 1000 seem, for example, between about 125 seem and about 550 seem; and the one or more porogen compounds are introduced into the chamber at a flow rate between about 50 milligrams/minute to about 5000 milligrams/minute, for example, between about 150 grams/minute and about 1500 grams/minute. A radio-frequency (RF) power is applied to an electrode, such as the showerhead, in order to provide plasma processing conditions in the chamber. Suitable RF power may be a power in a range of about 10W to about 2000W, such as about 300W to about 600W at a frequency of about 13.56MHz. The gas mixture is reacted in the chamber in the presence of RF power to deposit an initiation layer 106a comprising an oxide layer that adheres strongly to the underlying liner/barrier layer 104.
[0021] The gas mixture may optionally include one or more carrier gases. Typically, one or more carrier gases are introduced with the one or more organosilicon compounds and the one or more porogen compounds into the processing chamber. Examples of carrier gases that may be used include helium, argon, carbon dioxide, and combinations thereof. In one embodiment where helium is used as the carrier gas, the helium gas are introduced into the chamber along with one or more organosilicon compound at a flow rate between about 1500 seem and about 8000 seem, for example, between about 3500 seem and about 5500 seem. With one or more porogen compounds, helium gas are introduced into the chamber at a flow rate between about 300 seem and about 1800 seem, for example, between about 700 seem and about 1250 seem.
[0022] The initiation layer 106a generally includes a silicon oxide layer. As will be discussed below, the initiation layer 106a and a first and second transition layers 106b, 106c (Figure 1 B) constitute the adhesion layer 106 that enhances adhesion between the underlying liner/barrier layer 104 and the subsequent low K layer 1 10. In one embodiment, the initiation layer deposition may have a time range of between about 0.5 seconds and about 10 seconds, as long as the deposition period is long enough to ensure cohesion of the entire film. In one example, the initiation layer deposition may last for about 1 second. The initiation layer 106a may be deposited to a thickness in a range of about 5 A to about 100 A, preferably about 10 A to about 50 A. It is contemplated that the times for the various periods described in this disclosure may be adjusted depending on the needs of particular embodiments. For example, while a time range of about 0.5 seconds to about 10 seconds is described, in some embodiments, the initiation period may last for 0 seconds. An initiation period of 0 seconds means that changing flow rates of gas streams begins immediately upon introducing them to the chamber. Thus, embodiments with no initiation period are contemplated.
[0023] Prior to deposition of the low K layer 1 10, a separate transition step is performed to prevent any unwanted particle clusters from forming in the films due to undesirable gas phase reaction of both silicon and porogen flows occurring at the gas distribution plate. It has also been observed that the smooth transition of the liquids into the chamber can significantly reduce the occurrence of carbon bumps. These issues may be addressed by separating the transition of two liquid precursors (i.e., organosilicon compounds and porogen compounds) at a desired ramping rate. In a first period of the separate transition step 206, or simply referring to step 206, the flow rate of the one or more organosilicon compounds is gradually increased at a ramp-up rate between about 100 mgm/sec. and about 5000 mgm/sec, for example, between about 800 mgm/sec. and about 1200 mgm/sec, such as about 1000 mgm/sec, in the presence of the RF power, to deposit a first transition layer 106b (see Figure 1 B, which is a close up of the cross-section of the film stack shown in Figure 1A) on the initiation layer 106a until reaching a predetermined organosilicon compound gas mixture. In embodiments where a helium carrier gas is used, the flow rate of the one or more organosilicon compounds and the helium gas may be decreased to a range between about 2500 seem and about 4000 seem. In one embodiment, the first transition layer deposition may have a time range of between about 0.5 second and about 10 seconds. In one example, the first transition layer deposition time may be about 1 second. The first transition layer 106b may be deposited to a thickness in a range of about 10 A to about 300 A, for example, about 50 A to about 200 A.
[0024] In a second period of the transition step 208, or simply referring to step 208, while keeping the predetermined organosilicon compound gas mixture constant, the flow rate of the one or more porogen compounds is gradually increased at a ramp- up rate between about 100 mgm/sec. and about 5000 mgm/sec, for example, between about 200 mgm/sec. and about 350 mgm/sec, such as about 300 mgm/sec, to deposit a second transition layer 106c (Figure 1 B) onto the first transition layer 106b until reaching a predetermined final gas mixture. In embodiments where a helium carrier gas is used, the flow rate of the one or more porogen compounds and helium gas may be increased to a range between about 800 seem and about 2000 seem. In one embodiment, the second transition layer deposition may have a time range of between about 1 second and about 180 seconds. In one example, the second transition layer deposition time may be about 3 seconds. The second transition layer 106c may be deposited to a thickness in a range of about 10 A to about 600 A, preferably, about 100 A to about 400 A.
[0025] The deposition periods of the initiation layer 106a and the first and second transition layers 106b, 106c preferably result in deposition of a thin portion 106 of the film stack (106a, 106b, 106c) as shown. This thin portion 106 of the film stack serves as an adhesion layer for better adhesion with ultra low dielectric constant films to underlying barrier/liner layers. In most embodiments, the thickness of this portion is reduced by almost half, e.g., less than about 200 Angstroms. Deposition of the thin portion 106 of the film stack (106a, 106b, 106c) may be achieved through relatively short duration and/or low deposition rate. In one embodiment, the deposition rate for the thin portion of the film stack is between about 1000 Angstroms/minute to about 3500 Angstroms/minute, such as about 2500 Angstroms/minute. As the thin portion 106 of the film stack (106a, 106b, 106c) constitutes significant portion of an ultra low K nanoporous film stack (106a, 106b, 106c, 110) having a thickness less than 2000 Angstroms, the thickness non- uniformity for the dielectric film stack 100 can be reduced to less than 2% by lowering the thickness of the thin portion of the film stack. Most importantly, the reduced thickness of the thin portion 106 of the film stack (106a, 106b, 106c) minimizes the K impact to the overall nanoporous film stack.
[0026] In step 210, upon reaching the final gas mixture composition, a plasma of the final gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of the one or more porogen compounds is formed to deposit a porogen-containing organosilicate dielectric layer, i.e., the low K layer 1 10. In one embodiment, the low K layer deposition may have a time range of between about 15 second and about 180 seconds. In one example, the final layer deposition time may be about 130 seconds. The low K layer 1 10 may be deposited to a thickness in a range of about 200 A to about 10,000 A until the RF power is terminated. Not wishing to be bound by theory, it is believed that by separating the ramp-up rates of the organosilicon compounds and the porogen compounds, a more stable and manufacturable process can be obtained, yielding organosilicate dielectric layers with significantly less defect issues, such as carbon bumps.
[0027] Alternatively, step 208, depositing the second transition layer 106c, may be combined with step 210, depositing the final porogen silicon oxide layer. In such an embodiment, the porogen compound flow rate is continuously ramped-up while flowing the predetermined organosilicon compound gas mixture during the porogen silicon oxide layer deposition. The combination of step 208 with step 210 may have a time range of between about 1 second and about 180 seconds. In this manner, the final porogen silicon oxide layer may have a gradient concentration of porogen where the concentration of porogen in the silicon oxide layer increases as the porogen silicon oxide layer is deposited. This gradient layer may be deposited to a thickness in a range of about 50 A to about 10,000 A, preferably, about 100 A to about 5000 A, until the RF power is terminated.
[0028] During the processes described above, the substrate is typically maintained at a temperature between about 100°C and about 400°C, for example between about 200°C and about 350°C. The chamber pressure may be between about 1 Torr and about 20 Torr, for example between about 7 Torr and about 9 Torr, and the spacing between a substrate support and the chamber showerhead may be between about 200 mils and about 1500 mils, for example, between about 280 mils and about 450 mils. A RF power level of between about 100W and about 600W for a 300 mm substrate may be used. The RF power is provided at a frequency between about 0.01 MHz and 300 MHz, such as about 13.56 MHz. The RF power may be provided at a mixed frequency, such as at a high frequency of about 13.56 MHz and a low frequency of about 350 kHz. The RF power may be cycled or pulsed to reduce heating of the substrate and promote greater porosity in the deposited film. The RF power may also be continuous or discontinuous, depending upon application.
[0029] In certain embodiments, lower plasma density in combination with higher total flow rate is used. To obtain a lower plasma density, a RF power level of between about 300W and about 600W, for example, between about 350W and about 500W, may be used. In cases where the RF power level of between about 350W and about 500W is used, a RF power/total flow rate of about 0.1 W/sccm to about 0.3 W/sccm is preferred. Alternatively, a RF power/total volume flow of about 0.2 W/cm3 to about 0.5 W/cm3 is preferred. The term "total flow rate" or "total volume flow" as used herein is intended to refer to the flows/volumes of the gas mixture and optional carrier gases introduced into the processing chamber during the deposition, as discussed previously. It has been observed by the present inventors that the use of lower plasma density in combination with higher total flow rate may allow for a denser packing of the co-deposited species during film deposition, resulting in higher mechanical strength, smaller pore size (<10 A), and tighter pore-size distribution. This leads to significant improvement in the mechanical integrity of the film by increasing the damage resistance of the film to subsequent device manufacturing processes.
[0030] In any of the embodiments described herein, a porogen-containing organosilicate dielectric layer is deposited from a process gas mixture comprising an organosilicon compound and a porogen. The organosilicate layer may be used as a dielectric layer. The dielectric layer may be used at different levels within a dual damascene structure or a suitable device. For example, the dielectric layer may be used as a premetal dielectric layer, an inter-metal dielectric layer, or a gate dielectric layer. The organosilicate layer deposited in accordance with various embodiments of the present invention has been proved to be able to provide a low dielectric constant less than 3.0, for example, about 2.5. [0031] A wide variety of process gas mixtures may be used to deposit the organosilicate dielectric layer, and non-limiting examples of such gas mixtures are provided below. Generally, the gas mixture includes one or more organosilicon compounds (e.g., a first and a second organosilicon compound), one or more porogen compounds, a carrier gas, and an oxidizing gas. These components are not to be interpreted as limiting, as many other gas mixtures including additional components such as hydrocarbons (e.g., aliphatic hydrocarbons) are contemplated.
[0032] The term "organosilicon compound" as used herein is intended to refer to silicon-containing compounds including carbon atoms in organic groups. The organosilicon compound may include one or more cyclic organosilicon compounds, one or more aliphatic organosilicon compounds, or a combination thereof. Some exemplary organosilicon compounds include methyldiethoxysilane (mDEOS), tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), trimethylsilane (TMS), pentamethylcyclopentasiloxane, hexamethylcyclotrisiloxane, dimethyldisiloxane, tetrasilano-2,6-dioxy-4,8-dimethylene, tetramethyldisiloxane, hexamethyldisiloxane (HMDS), 1 ,3-bis(silanomethylene)disiloxane, bis(1- methyldisiloxanyl)methane, bis(1-methyldisiloxanyl)propane, hexamethoxydisiloxane (HMDOS), dimethyldimethoxysilane (DMDMOS), and dimethoxymethylvinylsilane (DMMVS), or derivatives thereof. The one or more organosilicon compounds may be introduced into the processing chamber at a flow rate in a range of about 200 milligrams/minute to about 5000 milligrams/minute, for example, between about 350 milligrams/minute and about 2500 milligrams/minute.
[0033] The term "porogen compound" as used herein is intended to refer to compounds that comprise thermally labile groups. The thermally labile groups may be cyclic groups, such as unsaturated cyclic organic groups. The term "cyclic group" as used herein is intended to refer to a ring structure. The ring structure may contain as few as three atoms. The atoms may include carbon, nitrogen, oxygen, fluorine, and combinations thereof, for example. The cyclic group may include one or more single bonds, double bonds, triple bonds, and any combination thereof. For example, a cyclic group may include one or more aromatics, aryls, phenyls, cyclohexanes, cyclohexadienes, cycloheptadienes, and combinations thereof. The cyclic group may also be bi-cyclic or tri-cyclic. In one embodiment, the cyclic group is bonded to a linear or branched functional group. The linear or branched functional group preferably contains an alkyi or vinyl alkyi group and has between one and twenty carbon atoms. The linear or branched functional group may also include oxygen atoms, such as in a ketone, ether, and ester. The porogen may comprise a cyclic hydrocarbon compound. Some exemplary porogens that may be used include norbornadiene (BCHD, bicycle(2.2.1)hepta-2,5-diene), 1-methyl-4-(1- methylethyl)-1 ,3-cyclohexadiene (ATP or alpha-Terpinene), vinylcyclohexane (VCH), phenylacetate, butadiene, isoprene, cyclohexadiene, bicycloheptadiene, 1-methyl-4- (l-methylethyl)-benzene (Cymene), 3-carene, fenchone, limonene, cyclopentene oxide, vinyl-1 ,4-dioxinyl ether, vinyl furyl ether, vinyl-1 ,4-dioxin, vinyl furan, methyl furoate, furyl formate, furyl acetate, furaldehyde, difuryl ketone, difuryl ether, difurfuryl ether, furan, and 1 ,4-dioxin, and fluorinated carbon derivatives thereof. The one or more porogen compounds may be introduced into the processing chamber at a flow rate in a range of about 50 milligrams/minute to about 5000 milligrams/minute, for example, between about 150 milligrams/minute and about 1500 milligrams/minute.
[0034] As discussed previously, the gas mixture may optionally include one or more carrier gases. Typically, one or more carrier gases are introduced with the one or more organosilicon compounds and the one or more porogen compounds into the processing chamber. Examples of carrier gases that may be used include helium, argon, carbon dioxide, and combinations thereof. The one or more carrier gases may be introduced into the processing chamber at a flow rate less than about 20,000 seem, depending in part upon the size of the interior of the chamber. Preferably the flow of carrier gas is in a range of about 500 seem to about 5000 seem. In some processes, an inert gas such as helium or argon is put into the processing chamber to stabilize the pressure in the chamber before reactive process gases are introduced.
[0035] The gas mixture also includes one or more oxidizing gases. Suitable oxidizing gases include oxygen (O2), ozone (O3), nitrous oxide (N2O), carbon monoxide (CO), carbon dioxide (CO2), and combinations thereof. The flow of oxidizing gas may be in a range of about 100 seem to about 3,000 seem, depending in part upon the size of the interior of the chamber. Typically, the flow of oxidizing gas is in a range of about 100 seem to about 1 ,000 seem, for example about 450 seem. Disassociation of oxygen or the oxygen containing compounds may occur in a microwave chamber prior to entering the deposition chamber and/or by RF power as applied to process gas within the chamber.
Post Treatment Process
[0036] After the low dielectric constant film is deposited, the film is post-treated. The film may be post-treated with a thermal annealing, alone or assisted by UV radiation to remove the organic labile and create pore inclusions into the final material. In one embodiment, the low dielectric constant film is post-treated with a UV curing process. The UV post-treatment may be performed in-situ within the same processing chamber or system, for example, transferred from one chamber to another without a break in vacuum. Exemplary UV post-treatment conditions that may be used include a chamber pressure of between about 1 Torr and about 10 Torr and a substrate support temperature of between about 350°C and about 500°C. The source of ultraviolet radiation may be between about 100 mils and about 1400 mils from the substrate surface. Optionally, a processing gas may be introduced during the ultraviolet curing process. Suitable processing gases include oxygen (O2), nitrogen (N2), hydrogen (H2), helium (He), argon (Ar), water vapor (H2O), carbon monoxide, carbon dioxide, hydrocarbon gases, fluorocarbon gases, and fluorinated hydrocarbon gases, or combinations thereof.
[0037] The UV radiation may be provided by any UV source, such as mercury microwave arc lamps, pulsed xenon flash lamps, or high-efficiency UV light emitting diode arrays. The ultraviolet radiation may comprise a range of ultraviolet wavelengths, and include one or more simultaneous wavelengths. Suitable ultraviolet wavelengths include between about 1 nm and about 400 nm, and may further include optical wavelengths up to about 600 or 780 nm. Additionally or alternatively, the ultraviolet radiation may be applied at multiple wavelengths, a tunable wavelength emission and tunable power emission, or a modulation between a plurality of wavelengths as desired, and may be emitted from a single UV lamp or applied from an array of ultraviolet lamps. Examples of suitable UV lamps include a Xe filled Zeridex™ UV lamp, a Ushio Excimer UV lamp, a DSS UV lamp, or a Hg Arc Lamp. The deposited low dielectric constant film is exposed to the ultraviolet radiation for between about 10 seconds and about 600 seconds, for example between about 60 seconds and about 600 seconds. The UV radiation may have a wavelength of between about 170 nm and about 400 nm, for example. Further details of UV chambers and treatment conditions that may be used are described in commonly assigned U.S. Patent Application Serial No. 1 1/124,908, filed on May 9, 2005, which is incorporated by reference herein. The NanoCure™ chamber from Applied Materials, Inc. is an example of a commercially available chamber that may be used for UV post-treatments.
[0038] In another embodiment, the low dielectric constant film is post-treated with a thermal or plasma enhanced annealing process. The film may be annealed at a temperature between about 200°C and about 400°C for about 2 seconds to about 1 hour, preferably about 30 minutes, in a chamber. A non-reactive gas such as helium, hydrogen, nitrogen, or a mixture thereof may be introduced at a rate of about 100 seem to about 10,000 seem. The chamber pressure is maintained between about 1 Torr and about 10 Torr. The RF power during the annealing is about 200 W to about 1 ,000 W at a frequency of about 13.56 MHz, and the preferable substrate spacing is between about 300 mils and about 800 mils. Annealing the low dielectric constant film at a substrate temperature of about 200°C to about 400°C after the low dielectric constant film is deposited volatilizes at least some of the organic groups in the film, forming voids in the film.
[0039] In yet another embodiment, the low dielectric constant film is post-treated with an electron beam treatment. Exemplary electron beam conditions that may be used include a chamber temperature of between about 200°C and about 600°C, e.g. about 350°C to about 400°C. The electron beam energy may be from about 0.5 keV to about 30 keV. The exposure dose may be between about 1 μθ/αη2 and about 400 μθ/cm2. The chamber pressure may be between about 1 mTorr and about 100 mTorr. The gas ambient in the chamber may be any of the following gases: nitrogen, oxygen, hydrogen, argon, a blend of hydrogen and nitrogen, ammonia, xenon, or any combination of these gases. The electron beam current may be between about 0.15 mA and about 50 mA. The electron beam treatment may be performed for between about 1 minute and about 15 minutes. Although any electron beam device may be used, an exemplary electron beam chamber that may be used is an EBk™ electron beam chamber available from Applied Materials, Inc. of Santa Clara, CA.
[0040] The e-beam curing process improves mechanical strength of the deposited film network and also lowers the k-value. The energized e-beam alters the chemical bonding in the molecular network of the deposited film and removes at least a portion of the molecular groups, such as organic components from the ring of the one or more oxygen-free hydrocarbon compounds comprising one ring and one or two carbon-carbon double bonds in the ring, from the film. The removal of the molecular groups creates voids or pores within the film, lowering the K value.
Exemplary Hardware
[0041] Figure 3 shows a cross-sectional, schematic diagram of a chemical vapor deposition (CVD) chamber 300 for depositing a carbon-doped silicon oxide layer. This figure is based upon features of the PRODUCER® chambers currently manufactured by Applied Materials, Inc. The PRODUCER® CVD chamber (200 mm or 300 mm) has two isolated processing regions that may be used to deposit carbon-doped silicon oxides and other materials. A chamber having two isolated processing regions is described in United States Patent No. 5,855,681 , which is incorporated by reference herein.
[0042] The deposition chamber 300 has a chamber body 302 that defines separate processing regions 318, 320. Each processing region 318, 320 has a pedestal 328 for supporting a substrate (not seen) within the chamber 300. The pedestal 328 typically includes a heating element (not shown). Preferably, the pedestal 328 is movably disposed in each processing region 318, 320 by a stem 326 which extends through the bottom of the chamber body 302 where it is connected to a drive system 303. Internally movable lift pins (not shown) are preferably provided in the pedestal 328 to engage a lower surface of the substrate. The lift pins are engaged by a lift mechanism (not shown) to receive a substrate before processing, or to lift the substrate after deposition for transfer to the next station.
[0043] Each of the processing regions 318, 320 also preferably includes a gas distribution assembly 308 disposed through a chamber lid 304 to deliver gases into the processing regions 318, 320. The gas distribution assembly 308 of each processing region normally includes a gas inlet passage 340 through manifold 348 which delivers gas from a gas distribution manifold 319 through a blocker plate 346 and then through a showerhead 342. The showerhead 342 includes a plurality of nozzles (not shown) through which gaseous mixtures are injected during processing. An RF (radio frequency) supply 325 provides a bias potential to the showerhead 342 to facilitate generation of a plasma between the showerhead and the pedestal 328. The deposition process performed in the deposition chamber 300 can be either a non-plasma process on a cooled substrate pedestal 328 or a plasma enhanced process. In a plasma process, a controlled plasma is typically formed adjacent to the substrate by RF energy applied to the showerhead 342 from RF power supply 325 (with pedestal 328 grounded). Alternatively, the RF power supply 325 can be provided to the pedestal 328, or to different components at different frequencies.
[0044] The plasma may be generated using high frequency RF (HFRF) power, as well as low frequency RF (LFRF) power (e.g., dual frequency RF), constant RF, pulsed RF, or any other known or yet to be discovered plasma generation technique. The RF power supply 325 can supply a single frequency RF between about 5 MHz and about 300 MHz. In addition, the RF power supply 325 may also supply a low frequency RF between about 300 Hz to about 1 ,000 kHz to supply a mixed frequency to enhance the decomposition of reactive species of the process gas introduced into the process chamber. The RF power may be cycled or pulsed to reduce heating of the substrate and promote greater porosity in the deposited film. Suitable RF power may be a power in a range of about 10W to about 5000W, for example in a range of about 200W to about 600 W. Suitable LFRF power may be a power in a range of about 0W to about 5000W, for example in a range of about 0W to about 200W.
[0045] A system controller 334 controls the functions of various components such as the RF power supply 325, the drive system 303, the lift mechanism, the gas distribution manifold 319, and other associated chamber and/or processing functions. The system controller 334 executes system control software stored in a memory 338, which in the preferred embodiment is a hard disk drive, and can include analog and digital input/output boards, interface boards, and stepper motor controller boards. Optical and/or magnetic sensors are generally used to move and determine the position of movable mechanical assemblies.
[0046] The above CVD system description is mainly for illustrative purposes, and other plasma processing chambers may also be employed for practicing embodiments of the invention.
EXAMPLES
[0047] The embodiments of the present invention demonstrate deposition of ultra low K nanoporous films having dispersed microscopic gas voids. In any of the embodiments described previously, the following process parameters and ranges are generally beneficial to main and/or adhesion layer deposition process:
Figure imgf000020_0001
Figure imgf000021_0001
During the deposition of an adhesion layer, ramp-up rates for one or more organosilicon compounds and one or more porogen compounds in various transitions are generally between 800 mgm/sec and 1200 mgm/sec and between about 200 mgm/sec. and about 350 mgm/sec, respectively. Preferably, only one of the flows of the organosilicon compounds and the porogen compounds is changing during various transition steps to prevent any defects in the films, as discussed previously.
[0048] In one specific embodiment described above with respect to Figures 1A and 1 B, porogen-containing organosilicate dielectric layers were deposited on a substrate. The film was deposited using a PECVD chamber (i.e., CVD chamber) on a PRODUCER® system, available from Applied Materials, Inc. of Santa Clara, California. During deposition the chamber pressure was maintained at a pressure of about 6.5 Torr and the substrate was maintained at a temperature of about 270°C. The substrate was positioned on a substrate support disposed within a process chamber. The substrate was positioned 450 mils from the chamber showerhead.
[0049] The process gas mixture having an initial gas composition of 300 seem oxygen and 3800 seem helium was introduced into the chamber and flow rates stabilized before initiation of the RF power. Subsequently, a RF power level of about 600 W at 13.56 MHz was applied to the showerhead to form a plasma of a gas mixture including a methyldiethoxysilane (mDEOS) introduced into the chamber at a flow rate of about 600 mgm to deposit a silicon oxide initiation layer. After initiation of the RF power for about 1 second, the flow rate of mDEOS was increased to 2200 mgm. at a ramp-up rate of about 1000 mgm/sec. for about 1 second. In addition, the flow of helium was decreased to about 3000 seem.
[0050] Upon reaching and keeping a final mDEOS flow rate of about 2200 mg/min, a flow of BCHD was introduced into the chamber at a ramp-up rate of about 400 mgm/sec. for about 3 seconds to reach a porogen deposition flow rate of about 1300 mgm. The final gas mixture composition also includes 3000 seem helium and 225 seem oxygen. Upon reaching the desired thickness of the porogen-containing organosilicate dielectric layer, the RF power is terminated to stop further deposition. After RF power termination, the chamber throttle valve is opened to allow the process gas mixture to be pumped out of the chamber. The separate transition of the liquid precursors into the chamber reduces the defects in the films. A secondary-ion mass spectrometry (SIMS) analysis was performed to analyze the depth profile of element concentrations in a dielectric film stack, as shown in Figure 4. The depth distribution of carbon shows a smooth phase shift of carbon in the films, suggesting that no carbon bumps occurred in the films using the exemplary process.
[0051] It is contemplated that many variations of the above example may be practiced. For example, other organosilane precursors, porogen precursors, oxidizing gases, and inert gases may be used. In addition, different flow rates and/or ramp rates may be employed. Depending upon application, the flow rates of the various precursors may be adjusted to change the carbon content as portions of the film (e.g., initiation layer 106a and/or transition layers 106b, 106c) are deposited, such that an initial portion of the deposited film has a low carbon content, and is therefore oxide-like, while successive portions have higher carbon content, becoming oxycarbide-like.
[0052] Embodiments of the invention have been proved to be able to significantly lower the K impact of an oxide adhesion layer to an ultra low dielectric film stack by reducing the thickness of the adhesion layer that is deposited with novel process parameters. By lowering the adhesion layer thickness to about or less than 200A, the thickness non-uniformity for an ultra low dielectric film stack (<2kA) is also reduced to less than 2%. The improved oxide adhesion layer is deposited at lower deposition rate of about 2400A/min. and lower plasma density in combination with higher total flow rate (RF power/total flow between about 0.1W/sccm and about 0.3W/sccm), resulting in better packing/ordering of the co-deposited species during film deposition which causes higher mechanical strength of about 6.9 GPa. The improved adhesion layer provides good enough adhesion energy (-4.5 J/m2) for better adhesion with ultra low dielectric constant films to underlying barrier/liner layers. The resulting ultra low K nanoporous films has smaller pore radius of between about 7A and about 10A and tighter pore-size distribution with porosity in the range about 15% and about 25%.
[0053] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

What is claimed is:
1. A method of processing a substrate disposed within a processing chamber, comprising:
flowing into the processing chamber a gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of one or more porogen compounds to deposit an initiation layer on the substrate by applying a radio frequency (RF) power to the processing chamber;
ramping-up the flow rate of the one or more organosilicon compounds until reaching a final flow rate of the one or more organosilicon compounds to deposit a first transition layer on the initiation layer; and
while flowing the final flow rate of the one or more organosilicon compounds, ramping-up the flow rate of the one or more porogen compounds until reaching a final flow rate of the one or more porogen compounds to deposit a second transition layer on the first transition layer, wherein the depositions of the initiation layer and the first and second transition layers are performed at a ratio of the RF power to a total flow rate between about 0.1 W/sccm and about 0.3 W/sccm.
2. The method of claim 1 , wherein the deposition of the initiation layer is performed over a time period in the range of between about 0.5 second and about 5 seconds.
3. The method of claim 1 , wherein the deposition of the first and second transition layers is performed over a time period in the range of between about 1 second and about 5 seconds and between about 1 second and about 10 seconds, respectively.
4. The method of claim 1 , wherein the one or more organosilicon compound are introduced into the chamber at a flow rate between about 200 mgm and about 700 mgm and the one or more porogen compounds are introduced into the chamber at a flow rate between about 200 mgm and about 1600 mgm.
5. The method of claim 1 , wherein the depositions of the initiation layer and the first and second transition layers are performed at a deposition rate between 1000 A/min. and about 3500 A/min and at a low RF power between about 350W and about 500W.
6. The method of claim 1 , wherein the ramping-up the flow rate of the one or more organosilicon compounds is performed at a ramp-up rate between about 600 mgm/sec. and about 1500 mgm/sec and the ramping-up the flow rate of the one or more porogen compounds is performed at a ramp-up rate between about 200 mgm/sec. and about 600 mgm/sec.
7. The method of claim 1 , wherein the gas mixture further comprises one or more oxidizing gases selected from the group consisting of ozone, oxygen, carbon dioxide, carbon monoxide, water, nitrous oxide, 2,3-butanedione, and combinations thereof and an inert gas selected from the group consisting of helium, argon, or nitrogen.
8. The method of claim 1 , wherein the one or more organosilicon compounds is selected from the group consisting of methyldiethoxysilane (mDEOS), tetramethylcyclotetrasiloxane (TMCTS), octamethylcyclotetrasiloxane (OMCTS), trimethylsilane (TMS), pentamethylcyclopentasiloxane, hexamethylcyclotrisiloxane, dimethyldisiloxane, tetrasilano-2,6-dioxy-4,8-dimethylene, tetramethyldisiloxane, hexamethyldisiloxane (HMDS), 1 ,3-bis(silanomethylene)disiloxane, bis(1- methyldisiloxanyl)methane, bis(1-methyldisiloxanyl)propane, hexamethoxydisiloxane (HMDOS), dimethyldimethoxysilane (DMDMOS), dimethoxymethylvinylsilane (DM MVS), and derivatives thereof.
9. The method of claim 1 , wherein the one or more porogen compounds is selected from the group consisting of norbornadiene (BCHD, bicycle(2,2, 1)hepta- 2,5-diene), 1 -methyl-4-(1 -methylethyl)-1 ,3-cyclohexadiene (ATP or alpha- Terpinene), vinylcyclohexane (VCH), phenylacetate, butadiene, isoprene, cyclohexadiene, bicycloheptadiene, 1-methyl-4-(1-methylethyl)-benzene (Cymene), 3-carene, fenchone, limonene, cyclopentene oxide, vinyl-1 ,4-dioxinyl ether, vinyl furyl ether, vinyl-1 ,4-dioxin, vinyl furan, methyl furoate, furyl formate, furyl acetate, furaldehyde, difuryl ketone, difuryl ether, difurfuryl ether, furan, 1 ,4-dioxin, and fluorinated carbon derivatives thereof.
10. A method of processing a substrate disposed within a processing chamber, comprising:
providing a substrate bearing a liner/barrier layer;
depositing a carbon-containing oxide adhesion layer over the liner/barrier layer at a deposition rate between about 1000 A/min and about 3500 A/min, comprising:
flowing into the processing chamber a gas mixture comprising a flow rate of one or more organosilicon compounds and a flow rate of one or more porogen compounds to deposit an initiation layer on the substrate by applying a radio frequency (RF) power level of about 300W to about 600W at 13.56MHz to the processing chamber;
ramping-up the flow rate of the one or more organosilicon compounds until reaching a final flow rate of the one or more organosilicon compounds to deposit a first transition layer on the initiation layer; and
while flowing the final flow rate of the one or more organosilicon compounds, ramping-up the flow rate of the one or more porogen compounds until reaching a final flow rate of the one or more porogen compounds to deposit a second transition layer on the first transition layer;
depositing a low K film over the adhesion layer; and
curing the deposited low K film to form nanopores therein.
1 1. The method of claim 10, wherein the deposition of the initiation layer is performed over a time period in the range of between about 0.5 second and about 5 seconds, the deposition of the first transition layer is performed over a time period in the range of between about 1 second and about 5 seconds, and the deposition of the second transition layer is performed over a time period in the range of and between about 1 second and about 10 seconds.
12. The method of claim 1 1 , wherein the one or more organosilicon compounds are introduced into the chamber at a flow rate between about 200 mgm and about 700 mgm and the one or more porogen compounds are introduced into the chamber at a flow rate between about 200 mgm and about 1600 mgm.
13. The method of claim 10, wherein the initiation layer and the first and second transition layers are deposited to provide an overall thickness in a range of about 50 A to about 300 A.
14. The method of claim 10, wherein the ramping-up the flow rate of the one or more organosilicon compounds is performed at a ramp-up rate between about 600 mgm/sec. and about 1500 mgm/sec, and the ramping-up the flow rate of the one or more porogen compounds is performed at a ramp-up rate between about 200 mgm/sec. and about 600 mgm/sec.
15. The method of claim 10, wherein the depositions of the initiation layer and the first and second transition layers are performed at a ratio of the RF power to a total flow rate between about 0.1 W/sccm and about 0.3 W/sccm.
PCT/US2011/057343 2010-11-12 2011-10-21 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film WO2012064491A2 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020137015025A KR20130124511A (en) 2010-11-12 2011-10-21 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
CN2011800538803A CN103210479A (en) 2010-11-12 2011-10-21 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
JP2013538761A JP2014503991A (en) 2010-11-12 2011-10-21 Method for improving the damage resistance of thin ultra-low dielectric constant dielectric films by reducing the thickness of the adhesive layer

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/945,625 2010-11-12
US12/945,625 US20120121823A1 (en) 2010-11-12 2010-11-12 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film

Publications (2)

Publication Number Publication Date
WO2012064491A2 true WO2012064491A2 (en) 2012-05-18
WO2012064491A3 WO2012064491A3 (en) 2012-08-16

Family

ID=46048004

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2011/057343 WO2012064491A2 (en) 2010-11-12 2011-10-21 Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film

Country Status (6)

Country Link
US (1) US20120121823A1 (en)
JP (1) JP2014503991A (en)
KR (1) KR20130124511A (en)
CN (1) CN103210479A (en)
TW (1) TW201230192A (en)
WO (1) WO2012064491A2 (en)

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9054110B2 (en) * 2011-08-05 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Low-K dielectric layer and porogen
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
KR102136769B1 (en) * 2013-03-14 2020-07-22 어플라이드 머티어리얼스, 인코포레이티드 Adhesion layer to minimize dilelectric constant increase with good adhesion strength in a pecvd process
US9365924B2 (en) * 2013-05-23 2016-06-14 Asm Ip Holding B.V. Method for forming film by plasma-assisted deposition using two-frequency combined pulsed RF power
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN105448705B (en) * 2014-06-18 2018-05-04 无锡华润上华科技有限公司 The method and its oxide-film of particulate on a kind of elimination chip oxide film
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (en) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. Method of depositing thin film
KR102263121B1 (en) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. Semiconductor device and manufacuring method thereof
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10199388B2 (en) * 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (en) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. Method of forming metal interconnection and method of fabricating semiconductor device using the same
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (en) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (en) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and method of operating the same
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (en) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Gas supply unit and substrate processing apparatus including the same
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (en) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (en) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10707165B2 (en) * 2017-04-20 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having an extra low-k dielectric layer and method of forming the same
KR102457289B1 (en) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (en) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. Methods for forming a semiconductor device structure and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (en) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (en) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (en) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (en) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 Storage device for storing wafer cassettes for use with batch ovens
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (en) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 Method for depositing gap filling layer by plasma auxiliary deposition
TW202325889A (en) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 Deposition method
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (en) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 Method for depositing ruthenium-containing films on substrates by cyclical deposition processes
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (en) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. Substrate processing method and apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (en) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (en) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. Substrate processing method
TWI811348B (en) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures
TW202349473A (en) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures
KR102596988B1 (en) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. Method of processing a substrate and a device manufactured by the same
TW202013553A (en) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (en) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing system
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (en) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. Periodic deposition method for forming metal-containing material and film and structure comprising metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (en) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. Method for depositing a thin film and manufacturing a semiconductor device
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US10957781B2 (en) * 2018-07-31 2021-03-23 International Business Machines Corporation Uniform horizontal spacer
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (en) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. Method for deposition of a thin film
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (en) 2018-10-01 2020-04-07 Asm Ip控股有限公司 Substrate holding apparatus, system including the same, and method of using the same
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (en) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (en) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
KR102546322B1 (en) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus and substrate processing method
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (en) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. Substrate support unit and substrate processing apparatus including the same
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (en) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. A method for cleaning a substrate processing apparatus
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (en) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 Method of forming device structure, structure formed by the method and system for performing the method
DE102018132342A1 (en) * 2018-12-14 2020-06-18 Heliatek Gmbh Stabilization of laser structured organic photovoltaics
TWI819180B (en) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process
KR20200091543A (en) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. Semiconductor processing device
CN111524788B (en) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 Method for topologically selective film formation of silicon oxide
KR102638425B1 (en) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. Method and apparatus for filling a recess formed within a substrate surface
KR20200102357A (en) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for plug fill deposition in 3-d nand applications
TW202104632A (en) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 Cyclical deposition method and apparatus for filling a recess formed within a substrate surface
KR102626263B1 (en) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. Cyclical deposition method including treatment step and apparatus for same
TW202100794A (en) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing apparatus and method for processing substrate
KR20200108242A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (en) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. Structure Including SiOC Layer and Method of Forming Same
KR20200116033A (en) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. Door opener and substrate processing apparatus provided therewith
KR20200116855A (en) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. Method of manufacturing semiconductor device
KR20200123380A (en) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. Layer forming method and apparatus
KR20200125453A (en) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. Gas-phase reactor system and method of using same
KR20200130121A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Chemical source vessel with dip tube
KR20200130118A (en) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. Method for Reforming Amorphous Carbon Polymer Film
KR20200130652A (en) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. Method of depositing material onto a surface and structure formed according to the method
JP2020188255A (en) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. Wafer boat handling device, vertical batch furnace, and method
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (en) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. Method of using a gas-phase reactor system including analyzing exhausted gas
KR20200143254A (en) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (en) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. Temperature control assembly for substrate processing apparatus and method of using same
JP2021015791A (en) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. Plasma device and substrate processing method using coaxial waveguide
CN112216646A (en) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 Substrate supporting assembly and substrate processing device comprising same
KR20210010307A (en) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210010816A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Radical assist ignition plasma system and method
KR20210010820A (en) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. Methods of forming silicon germanium structures
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (en) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 Method of forming topology-controlled amorphous carbon polymer film
CN112309843A (en) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 Selective deposition method for achieving high dopant doping
CN112309899A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112309900A (en) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 Substrate processing apparatus
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (en) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. Liquid level sensor for a chemical source vessel
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (en) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. Production apparatus of mixed gas of film deposition raw material and film deposition apparatus
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (en) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for forming a structure with a hole
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (en) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane
KR20210029090A (en) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. Methods for selective deposition using a sacrificial capping layer
KR20210029663A (en) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (en) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process
TW202129060A (en) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 Substrate processing device, and substrate processing method
TW202115273A (en) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 Method of forming a photoresist underlayer and structure including same
KR20210045930A (en) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. Method of Topology-Selective Film Formation of Silicon Oxide
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (en) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. Apparatus and methods for selectively etching films
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (en) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. Structures with doped semiconductor layers and methods and systems for forming same
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (en) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
CN112951697A (en) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 Substrate processing apparatus
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
CN112885693A (en) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 Substrate processing apparatus
JP2021090042A (en) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. Substrate processing apparatus and substrate processing method
KR20210070898A (en) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
TW202125596A (en) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (en) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. Method of forming thin film and method of modifying surface of thin film
TW202130846A (en) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 Method of forming structures including a vanadium or indium layer
TW202146882A (en) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (en) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 Method for growing phosphorous-doped silicon layer and system of the same
KR20210116240A (en) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. Substrate handling device with adjustable joints
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (en) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. Thin film forming method
TW202146689A (en) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 Method for forming barrier layer and method for manufacturing semiconductor device
TW202145344A (en) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 Apparatus and methods for selectively etching silcon oxide films
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Method of forming vanadium nitride-containing layer and structure comprising the same
KR20210132605A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Vertical batch furnace assembly comprising a cooling gas supply
KR20210132600A (en) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
KR20210134869A (en) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Fast FOUP swapping with a FOUP handler
KR20210141379A (en) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. Laser alignment fixture for a reactor system
KR20210143653A (en) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. Substrate processing apparatus
KR20210145078A (en) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. Structures including multiple carbon layers and methods of forming and using same
TW202201602A (en) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing device
TW202218133A (en) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method for forming a layer provided with silicon
TW202217953A (en) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Substrate processing method
KR20220010438A (en) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. Structures and methods for use in photolithography
TW202204662A (en) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 Method and system for depositing molybdenum layers
KR20220027026A (en) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. Method and system for forming metal silicon oxide and metal silicon oxynitride
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11600486B2 (en) * 2020-09-15 2023-03-07 Applied Materials, Inc. Systems and methods for depositing low-κdielectric films
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11621162B2 (en) 2020-10-05 2023-04-04 Applied Materials, Inc. Systems and methods for forming UV-cured low-κ dielectric films
TW202229613A (en) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing material on stepped structure
TW202217037A (en) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 Method of depositing vanadium metal, structure, device and a deposition assembly
TW202223136A (en) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 Method for forming layer on substrate, and semiconductor processing system
KR20220076343A (en) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. an injector configured for arrangement within a reaction chamber of a substrate processing apparatus
CN114639631A (en) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 Fixing device for measuring jumping and swinging
TW202231903A (en) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20080119058A1 (en) * 2006-11-21 2008-05-22 Ho Dustin W Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20090017231A1 (en) * 2007-07-12 2009-01-15 Kang Sub Yim Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297376B1 (en) * 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US20080119058A1 (en) * 2006-11-21 2008-05-22 Ho Dustin W Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20090017231A1 (en) * 2007-07-12 2009-01-15 Kang Sub Yim Novel silicon precursors to make ultra low-k films with high mechanical properties by plasma enhanced chemical vapor deposition

Also Published As

Publication number Publication date
US20120121823A1 (en) 2012-05-17
JP2014503991A (en) 2014-02-13
WO2012064491A3 (en) 2012-08-16
TW201230192A (en) 2012-07-16
CN103210479A (en) 2013-07-17
KR20130124511A (en) 2013-11-14

Similar Documents

Publication Publication Date Title
US20120121823A1 (en) Process for lowering adhesion layer thickness and improving damage resistance for thin ultra low-k dielectric film
US7297376B1 (en) Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US7259111B2 (en) Interface engineering to improve adhesion between low k stacks
US7989033B2 (en) Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US20120156890A1 (en) In-situ low-k capping to improve integration damage resistance
US7189658B2 (en) Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US7998536B2 (en) Silicon precursors to make ultra low-K films of K&lt;2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7410916B2 (en) Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20070134435A1 (en) Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
JP2007531319A (en) Techniques to promote adhesion of porous low dielectric constant films to underlying barrier layers
KR101376969B1 (en) Bi-layer capping of low-k dielectric films
US20100015816A1 (en) Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
US20100087062A1 (en) High temperature bd development for memory applications

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 11840117

Country of ref document: EP

Kind code of ref document: A2

ENP Entry into the national phase

Ref document number: 2013538761

Country of ref document: JP

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20137015025

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 11840117

Country of ref document: EP

Kind code of ref document: A2