WO2012166618A2 - Capping layer for reduced outgassing - Google Patents

Capping layer for reduced outgassing Download PDF

Info

Publication number
WO2012166618A2
WO2012166618A2 PCT/US2012/039629 US2012039629W WO2012166618A2 WO 2012166618 A2 WO2012166618 A2 WO 2012166618A2 US 2012039629 W US2012039629 W US 2012039629W WO 2012166618 A2 WO2012166618 A2 WO 2012166618A2
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
precursor
layer
oxygen
nitrogen
Prior art date
Application number
PCT/US2012/039629
Other languages
French (fr)
Other versions
WO2012166618A3 (en
Inventor
Linlin Wang
Abhijit Basu Mallick
Nitin K. Ingle
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2012166618A2 publication Critical patent/WO2012166618A2/en
Publication of WO2012166618A3 publication Critical patent/WO2012166618A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Definitions

  • Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produce devices with 45 nm, 32 nm, and 28 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries.
  • the decreasing feature sizes result in structural features on the device having decreased spatial dimensions.
  • the widths of gaps and trenches on the device narrow to a point where the aspect ratio of gap depth to its width becomes high enough to make it challenging to fill the gap with dielectric material.
  • the depositing dielectric material is prone to clog at the top before the gap completely fills, producing a void or seam in the middle of the gap.
  • the hardening includes a heat treatment to remove carbon and hydroxyl groups from the deposited material to leave behind a solid dielectric such as silicon oxide.
  • a solid dielectric such as silicon oxide.
  • the departing carbon and hydroxyl species often leave behind pores in the hardened dielectic that reduce the quality of the final material.
  • the hardening dielectric also tends to shrink in volume, which can leave cracks and spaces at the interface of the dielectric and the surrounding substrate. In some instances, the volume of the hardened dielectric can decrease by 40% or more.
  • SOD Spin-on dielectrics
  • the material is generally converted to silicon oxide from a silazane-type film which contains silicon, nitrogen and hydrogen.
  • Silicon, nitrogen and hydrogen containing films are typically converted to silicon oxide at high temperature in an oxygen containing environment. Oxygen from the environment displaces nitrogen and hydrogen to create the silicon oxide film. High temperature exposure to oxygen environments can ruin underlying films for some circuit architectures. This consideration results in the need to stay within a "thermal budget" during a manufacturing process flow. Thermal budget considerations have largely limited SOD to process flows incorporating an underlying silicon nitride layer which can protect underlying features from oxidation (e.g. DRAM applications).
  • Radical-component CVD can create a flowable film by exciting one precursor and combining it with an unexcited silicon-containing precursor in the plasma- free substrate processing region. Film properties, including density, may change slightly in time until the silazane containing film is converted to silicon oxide. Controlling the evolution of the film properties improves the manufacturability of devices using these films. Thus, there is a need for new deposition processes and materials to form dielectric materials which do not evolve over time. This and other needs are addressed in the present application.
  • a method of forming a silicon oxide layer is described.
  • the method first deposits a silicon- nitrogen-and-hydrogen-containing (polysilazane) film by radical-component chemical vapor deposition (CVD).
  • the silicon-nitrogen-and-hydrogen-containing film is formed by combining a radical precursor (excited in a remote plasma) with an unexcited carbon-free silicon precursor.
  • a capping layer is formed over the silicon-nitrogen-and-hydrogen- containing film to avoid time-evolution of underlying film properties prior to conversion into silicon oxide.
  • the capping layer is formed by combining a radical oxygen precursor (excited in a remote plasma) with an unexcited silicon-and-carbon-containing-precursor.
  • the films are converted to silicon oxide by exposure to oxygen-containing environments.
  • Embodiments of the invention include methods of forming a silicon oxide layer on a substrate.
  • the methods include forming a first layer comprising silicon, nitrogen and hydrogen by flowing an unexcited precursor into a remote plasma region to produce a radical-precursor, combining a carbon-free silicon-containing precursor with the radical- precursor in the plasma-free substrate processing region, and depositing a carbon-free silicon- nitrogen-and-hydrogen-containing layer over the substrate.
  • the methods further include forming a second layer comprising silicon and carbon by flowing an unexcited oxygen- containing precursor into a remote plasma region to produce a radical-oxygen precursor, combining a silicon-and-carbon-containing precursor with the radical-oxygen precursor in the plasma- free substrate processing region, and depositing a silicon-oxygen-and-carbon- containing capping layer over the silicon-nitrogen-and-hydrogen-containing layer.
  • FIG. 1 is a flowchart illustrating selected steps for making a silicon oxide film according to embodiments of the invention.
  • FIG. 2 shows a substrate processing system according to embodiments of the invention.
  • FIG. 3 A shows a substrate processing chamber according to embodiments of the invention.
  • FIG. 3B shows a gas distribution showerhead according to embodiments of the invention.
  • DETAILED DESCRIPTION OF THE INVENTION A method of forming a silicon oxide layer is described. The method first deposits a silicon- nitrogen-and-hydrogen-containing (polysilazane) film by radical-component chemical vapor deposition (CVD). The silicon-nitrogen-and-hydrogen-containing film is formed by combining a radical precursor (excited in a remote plasma) with an unexcited carbon-free silicon precursor.
  • CVD radical-component chemical vapor deposition
  • a capping layer is formed over the silicon-nitrogen-and-hydrogen- containing film to avoid time-evolution of underlying film properties prior to conversion into silicon oxide.
  • the capping layer is formed by combining a radical oxygen precursor (excited in a remote plasma) with an unexcited silicon-and-carbon-containing-precursor.
  • the films are converted to silicon oxide by exposure to oxygen-containing environments. The two films may be deposited within the same substrate processing chamber and may be deposited without breaking vacuum.
  • Capping a radical-component CVD silicon-nitrogen-and-hydrogen-containing film with a radical-component CVD silicon-oxygen-and-carbon-containing film has been found to reduce and/or eliminate outgassing and concomitant evolution of film properties over time upon exposing the substrate to air. Without the silicon-oxygen-and-carbon-containing capping layer, the properties and stoichiometry of the film may change over time. The films evolve in time due to the outgassing of silicon-containing species, ammonia and the like. The properties may also evolve due to the absorption of water or other components present in the surrounding atmosphere (air) within a typical fabrication facility.
  • silicon-oxygen-and-carbon-containing capping layers described herein have been found to suppress outgassing but still allow conversion of the underlying silicon-nitrogen-and-hydrogen-containing film to a silicon-and- oxygen-containing film and to silicon oxide.
  • FIG. 1 is a flowchart showing selected steps in a method 100 of making silicon oxide films according to embodiments of the invention.
  • the method 100 includes providing a carbon- free silicon-containing precursor to a substrate processing region 102.
  • the carbon-free silicon-containing precursor does not pass through a plasma excitation, in embodiments, so the precursor travels into the substrate processing region intact. Excitation is then provided only by the radical precursor to be described shortly.
  • the carbon-free silicon-containing precursor may be, for example, a silicon-and-nitrogen-containing precursor, a silicon-and- hydrogen-containing precursor, or a silicon-nitrogen-and-hydrogen-containing precursor, among other classes of silicon precursors.
  • the absence of carbon reduces the shrinkage of the deposited film.
  • the silicon-containing precursor may be oxygen-free in addition to carbon- free.
  • the lack of oxygen results in a lower concentration of silanol (Si-OH) groups in the silicon-and-nitrogen-containing layer formed from the precursors.
  • Si-OH silanol
  • Excess silanol moieties in the deposited film can cause increased porosity and shrinkage during post deposition steps that remove the hydroxyl (-OH) moieties from the deposited layer.
  • carbon-free silicon precursors may include silyl-amines such as H 2 N(SiH 3 ), HN(SiH 3 ) 2 , and N(SiH 3 ) 3 , among other silyl-amines.
  • the flow rates of a silyl- amine may be greater than or about 200 seem, greater than or about 300 seem or greater than or about 500 seem in different embodiments. All flow rates given herein refer to a dual chamber substrate processing system. Single wafer systems would require half these flow rates and other wafer shapes/sizes would require flow rates scaled by the processed area.
  • These silyl-amines may be mixed with additional gases that may act as carrier gases, reactive gases, or both.
  • Additional gases may include H 2 , N 2 , NH 3 , He, Ne and/or Ar, among other gases.
  • Examples of carbon- free silicon-containing precursors may also include silane (SiH 4 ) either alone or mixed with other silicon (e.g., N(SiH 3 ) 3 ), hydrogen (e.g., H 2 ), and/or nitrogen (e.g., N 2 , NH 3 ) containing gases.
  • Carbon-free silicon-containing precursors may also include disilane, trisilane, even higher-order silanes, and chlorinated silanes, alone or in combination with one another or the previously mentioned carbon-free silicon-containing precursors.
  • a radical precursor is also provided to the substrate processing region 104.
  • a radical precursor describes plasma effluents produced in the plasma excitation outside the substrate processing region from any stable species (inert or reactive).
  • the radical precursor may be a nitrogen-containing radical precursor which will be referred to herein as a radical-nitrogen precursor.
  • the radical-nitrogen precursor is a nitrogen-radical-containing precursor that was generated outside the substrate processing region from a more stable nitrogen precursor.
  • a stable precursor may be referred to herein as an unexcited precursor to indicate that the precursor has not yet passed through a plasma.
  • a stable nitrogen precursor compound containing NH 3 , hydrazine (N 2 H 4 ) and/or N 2 may be activated in a chamber plasma region or another remote plasma system (RPS) outside the processing chamber to form the radical- nitrogen precursor, which is then transported into the substrate processing region to excite the carbon- free silicon-containing precursor.
  • RPS remote plasma system
  • the activation of the stable nitrogen precursor into the radical-nitrogen precursor involves dissociation which may be accomplished thermal dissociation, ultraviolet light dissociation, and/or plasma dissociation, among other methods.
  • Plasma dissociation may involve striking a plasma from helium, argon, hydrogen (H 2 ), xenon, ammonia (NH 3 ), etc., in a remote plasma generating chamber and introducing the stable nitrogen precursor to the plasma region to generate the radical-nitrogen precursor.
  • the stable nitrogen precursor may also be a mixture comprising NH 3 & N 2 , NH 3 & H 2 , NH3 & 2 & H 2 and 2 & H 2 , in different embodiments. Hydrazine may also be used in place of or in combination with NH 3 and in the mixtures involving 2 and H 2 .
  • the flow rate of the stable nitrogen precursor may be greater than or about 300 seem, greater than or about 500 seem or greater than or about 700 seem in different embodiments.
  • the radical-nitrogen precursor produced in the chamber plasma region may be one or more of -N, -NH, -NH 2 , etc., and may also be accompanied by ionized species formed in the plasma.
  • Sources of oxygen may also be combined with the more stable nitrogen precursor in the remote plasma in embodiments of the invention.
  • the addition of a source of oxygen pre-loads the film with oxygen while decreasing flowability.
  • Sources of oxygen may include one or more of 0 2 , H 2 0, 0 3 , H 2 0 2 , N 2 0, NO or N0 2 .
  • the radical-nitrogen precursor is generated in a section of the substrate processing region partitioned from a deposition region where the precursors mix and react to deposit the silicon-and-nitrogen-containing layer on a deposition substrate (e.g., a semiconductor wafer).
  • the radical-nitrogen precursor may also be accompanied by a carrier gas such as hydrogen (H 2 ), nitrogen (N 2 ), helium, neon, argon etc.
  • the substrate processing region may be described herein as "plasma-free" during the growth of the silicon-nitrogen-and-hydrogen-containing layer and during subsequent processes. "Plasma- free" does not necessarily mean the region is devoid of plasma.
  • the borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead.
  • a small amount of ionization may be initiated within the substrate processing region directly.
  • a low intensity plasma may be created in the substrate processing region without compromising the flowable nature of the forming film. All causes for a plasma having much lower ion density than the chamber plasma region during the creation of the radical nitrogen precursor do not deviate from the scope of "plasma-free" as used herein.
  • the carbon-free silicon precursor and the radical-nitrogen precursor mix and react to deposit a silicon-nitrogen-and-hydrogen-containing film on the deposition substrate 106.
  • the deposited silicon-nitrogen-and-hydrogen-containing film may deposit conformally with some recipe combinations in embodiments. In other embodiments, the deposited silicon-nitrogen-and-hydrogen-containing film has flowable characteristics unlike conventional silicon nitride (S1 3 N 4 ) film deposition techniques. The flowable nature during formation allows the film to flow into narrow features before solidifying.
  • the substrate temperature may be between about 0°C and about 225°C, between about room temperature (25°C) and about 125°C or between about 40°C and about 95°C in embodiments of the invention. These temperature ranges also apply to the capping layer described shortly in embodiments.
  • Nitrogen in the silicon-nitrogen-and-hydrogen-containing film may originate from either (or both) of the radical precursor or the unexcited precursor.
  • the carbon- free silicon-containing precursor may be essentially nitrogen-free, in some embodiments. However, in other embodiments, both the carbon-free silicon-containing precursor and the radical-nitrogen precursor contain nitrogen.
  • the radical precursor may be essentially nitrogen-free and the nitrogen for the silicon-nitrogen-and-hydrogen-containing layer may be supplied by the carbon-free silicon-containing precursor.
  • the radical precursor may be referred to herein as a "radical-nitrogen-and/or-hydrogen precursor," which means that the precursor contains nitrogen and/or hydrogen.
  • the precursor flowed into the plasma region to form the radical-nitrogen-and/or-hydrogen precursor may be referred to as a nitrogen-and/or-hydrogen-containing precursor.
  • This nomenclature may be applied to each of the embodiments disclosed herein.
  • the nitrogen-and/or- hydrogen-containing precursor comprises hydrogen (3 ⁇ 4) while the radical-nitrogen-and/or- hydrogen precursor comprises ⁇ , etc.
  • the flowability of a silicon-nitrogen-and- hydrogen-containing film may be due to a variety of properties which result from mixing a radical-nitrogen precursors with a carbon-free silicon-containing precursor. These properties may include a significant hydrogen component in the deposited film and/or the presence of short chained polysilazane polymers. These short chains grow and network to form more dense dielectric material during and after the formation of the film.
  • the deposited film may have a silazane-type, Si-NH-Si backbone (i.e., a carbon-free Si-N-H film).
  • the deposited silicon-nitrogen-and-hydrogen-containing film is also substantially carbon-free.
  • carbon-free does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen-containing precursor. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., tetraethylorthosilicate, tetramethyldisiloxane, etc.).
  • the process effluents may be removed from the substrate processing region in embodiments of the invention.
  • Process effluents may include any unreacted carbon- free silicon-containing precursor, unreacted radical-nitrogen precursor, inert carrier gases and reaction by-products from the film growth.
  • the process effluents may be displaced by flowing inert species into the substrate processing region and/or by exhaustion through an exhaust port in disclosed embodiments.
  • the substrate Prior to the deposition of the capping layer, the substrate may not be exposed to air in order to further minimize any evolution of film properties.
  • the method 100 also includes the remote generation of a radical-oxygen precursor in a remote plasma system (RPS) outside the deposition region 108.
  • the radical-oxygen precursor includes the plasma effluents created in the RPS and may contain atomic oxygen.
  • the same RPS may be used to create the radical-oxygen precursor of operation 108 as was used to create the radical-nitrogen precursor in operation 104 in embodiments.
  • the radical- oxygen precursor may be generated by the dissociation of an oxygen containing precursor such as molecular oxygen ( ( 3 ⁇ 4), ozone (O3), an nitrogen-oxygen compound (e.g., NO, NO2, N2O, etc.), a hydrogen-oxygen compound (e.g., H 2 0, H2O2, etc.), a carbon-oxygen compound (e.g., CO, CO2, etc.), as well as other oxygen containing precursors and combinations of precursors.
  • an oxygen containing precursor such as molecular oxygen ( 3 ⁇ 4), ozone (O3), an nitrogen-oxygen compound (e.g., NO, NO2, N2O, etc.), a hydrogen-oxygen compound (e.g., H 2 0, H2O2, etc.), a carbon-oxygen compound (e.g., CO, CO2, etc.), as well as other oxygen containing precursors and combinations of precursors.
  • the dissociation of the oxygen containing precursor to generate the radical- oxygen precursor may be done by the same means used to create
  • the radical-oxygen precursor is then introduced to the deposition chamber 108 where it may mix for the first time with a silicon-and-carbon-containing precursor, which is also introduced to the chamber 1 10.
  • the radical-oxygen precursor reacts with the silicon precursor (and other deposition precursors that may be present in the reaction chamber) at moderate temperatures (e.g., reaction temperatures less than 100°C in embodiments) and pressures (e.g., about 0.1 Torr to about 10 Torr; 0.5 to 6 Torr total chamber pressure, etc.) to form a silicon-oxygen-and-carbon-containing film 112.
  • the silicon- oxygen-and-carbon-containing film may be a silicon-and-oxygen-containing layer.
  • the wafer may be adjusted (i.e., heated or cooled) by a wafer pedestal that supports the wafer to a temperature of about 0°C to about 150°C.
  • Process parameters described for the carbon-free silicon-nitrogen-and-hydrogen-containing layer formation process or the silicon-oxygen-and-carbon-containing layer formation process also apply to the other layer in embodiments.
  • the thickness of the silicon-oxygen-and-carbon-containing capping layer (or a silicon-and-oxygen-containing capping layer) is greater than about 100 A, 150A or 200A in disclosed embodiments.
  • the silicon-and-carbon-containing precursor may include a organosilane compound with direct Si-C bonding and/or compounds with Si-O-C bonding.
  • organosilane silicon precursors may include dimethylsilane, trimethylsilane, tetramethylsilane, tetramethyldisiloxane, diethylsilane, tetramethylorthosilicate, tetraethylorthosilicate, octamethyltrisiloxane, octamethylcyclotetrasiloxane, tetramethyldimethyldimethoxydisilane, tetramethylcyclotetrasiloxane, dimethyldimethoxysilane, diethylmethylsilane,
  • the silicon- and-carbon-containing precursor may be mixed with a carrier gas before or during its introduction to the deposition chamber.
  • a carrier gas may be an inactive gas that does not unduly interfere with the formation of the oxide film on the substrate. Examples of carrier gases include helium, neon, argon, nitrogen (N 2 ), and hydrogen (H 2 ), among other gases.
  • No curing operation is required following formation of the second layer (the capping layer) to prevent evolution of film properties over time upon exposure to air, in embodiments. Curing may still be carried out to convert the film stack to a silicon-and-oxygen-containing layer.
  • the film stack may be cured after method 100 is complete.
  • the curing stage involves exposing the silicon-nitrogen-and-hydrogen-containing layer to an ozone-containing atmosphere.
  • Ozone is generated outside the substrate processing region, in embodiments, and flowed into the substrate processing region.
  • Plasma power may or may not be applied to the substrate processing region to further excite the ozone atmosphere in different embodiments of the invention. Absence of plasma, in embodiments, avoids generation of atomic oxygen which could modify the near surface network and thwart subsurface oxidation. The reduction of nitrogen and increase in oxygen occurs not only near the surface, but also in the subsurface region due to the ability of relatively stable ozone to penetrate the network of the silicon-nitrogen-and-hydrogen layer.
  • a plasma may subsequently be applied to the substrate processing region to excite the ozone atmosphere in another stage of ozone curing in embodiments.
  • the deposition substrate may remain in the substrate processing region for curing, or the substrate may be transferred to a different chamber where the ozone-containing atmosphere is introduced.
  • the curing temperature of the substrate during either/both stages may be less than or about 300°C, less than or about 250°C, less than or about 225°C, or less than or about 200°C in different embodiments.
  • the temperature of the substrate may be greater than or about room temperature (25°C), greater than or about 50°C, greater than or about 100°C, greater than or about 125°C or greater than or about 150°C in different embodiments.
  • any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature according to additional disclosed embodiments.
  • the flow rate of the ozone (just the ozone contribution) into the substrate processing region during the curing operation may be greater than 500 seem, greater than 1 slm or greater than 2 slm in disclosed embodiments.
  • the partial pressure of ozone during the curing operation may be greater than or about 20 Torr, greater than or about 30 Torr, greater than or about 50 Torr or greater than or about 100 Torr in disclosed embodiments.
  • the curing operation modified the silicon-nitrogen-and-hydrogen-containing layer into a silicon-and-oxygen-containing layer.
  • the silicon-and-oxygen-containing layer may be converted into a silicon oxide layer by annealing the substrate at relatively high temperature in an oxygen-containing environment or by exposing the substrate to water at much lower temperatures.
  • the deposition substrate may remain in the same substrate processing region used for curing when the oxygen-containing atmosphere is introduced, or the substrate may be transferred to a different chamber where the oxygen-containing atmosphere is introduced.
  • the oxygen-containing atmosphere may include one or more oxygen-containing gases such as molecular oxygen ( ( 3 ⁇ 4), ozone (O3), water vapor (H2O), hydrogen peroxide (H2O2) and nitrogen-oxides (NO, NO 2 , etc.), among other oxygen-containing gases.
  • the oxygen- containing atmosphere may also include radical oxygen and hydroxyl species such as atomic oxygen (O), hydroxides (OH), etc., that may be generated remotely and transported into the substrate chamber. Ions of oxygen-containing species may also be present.
  • the oxygen anneal temperature of the substrate may be less than or about 1 100°C, less than or about 1000°C, less than or about 900°C or less than or about 800°C in different embodiments.
  • the temperature of the substrate may be greater than or about 500°C, greater than or about 600°C, greater than or about 700°C or greater than or about 800°C in different embodiments.
  • any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature according to additional disclosed
  • the silicon-and-oxygen-containing layer may be converted to silicon oxide by exposing the silicon-and-oxygen-containing layer to a humid environment.
  • the humid environment may be provided in the same region used for curing or the substrate may be moved to a separate processing station in disclosed embodiments.
  • the humid environment may have a relative humidity greater than 50%, greater than 60%, greater than 70%, greater than 75%, greater than 80% or greater than 85% in embodiments of the invention.
  • the substrate temperature may be between room temperature (25°C) and about 100°C, between about 40°C and about 95°C, between about 50°C and about 90°C, 60°C and about 90°C or between about 70°C and about 90°C in embodiments.
  • the duration of the humidity treatment may be less than 2 minutes, less than 5 minutes, less than 10 minutes, less than 30 minutes or less than an hour in embodiments of the invention.
  • the ozone curing operation typically takes place at higher substrate temperature than the humidity treatment.
  • the curing operation and the humidity treatment may be carried out in separate chambers/stations, in embodiments, since these low temperatures are somewhat hard to precisely adjust within the same region.
  • the inclusion of the low temperature humidity treatment described herein makes a high temperature oxygen atmosphere anneal (e.g. around 400°C or higher) unnecessary.
  • the curing operation in combination with the humidity treatment completes the silicon oxide conversion process in embodiments of the invention. In other embodiments, only the humidity treatment is used to perform the conversion process. In either case, the removal of a high temperature oxygen treatment allows the conversion process to occur without oxidizing underlying layers.
  • the absence of a high temperature anneal in an oxygen atmosphere enables integrated circuit manufacturers to stay within oxidation budgets.
  • a high temperature inert anneal may still be included, in embodiments, to densify the silicon oxide film.
  • a high temperature anneal in an inert environment counts toward a thermal budget but not towards the more specific oxidation budget, each of which are determined for and associated with a particular process flow.
  • the ozone-containing atmospheres of the curing, annealing and the humidity treatment provides oxygen to convert the silicon-nitrogen-and-hydrogen-containing film into the silicon oxide (S1O 2 ) film.
  • Alternative humidity treatments will be described shortly.
  • the substrate used for depositing the silicon-nitrogen-and-hydrogen-containing layer and the capping layer may be a patterned substrate and may have a plurality of gaps for the spacing and structure of device components (e.g., transistors) formed on the substrate.
  • the gaps may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1 : 1 (e.g., 5: 1 or more, 6: 1 or more, 7: 1 or more, 8: 1 or more, 9: 1 or more, 10: 1 or more, 1 1 : 1 or more, 12: 1 or more, etc.).
  • AR aspect ratio
  • the high AR is due to small gap widths of that range from about 90 nm to about 22 nm or less (e.g., less than 90 nm, 65 nm, 50 nm, 45 nm, 32 nm, 22 nm, 16 nm, etc.).
  • the silicon-nitrogen- and-hydrogen-containing layer is flowable, it can fill gaps with high aspect ratios without creating voids or weak seams around the center of the filling material. For example, a depositing flowable material is less likely to prematurely clog the top of a gap before it is completely filled to leave a void in the middle of the gap.
  • the substrate is then transferred out of the ozone-containing atmosphere and the silicon-and- oxygen-containing layer is dipped into a liquid solution comprising both oxygen and hydrogen to complete the conversion to a silicon oxide layer. Further anneals may not be necessary, in embodiments of the invention, due to the presence of the liquid solution step. Ozone curing and dipping the resulting film in the liquid bath, as described herein, produces a silicon oxide layer on the substrate, including the substrate gap. As noted above, the silicon oxide layer has fewer pores and less volume reduction than similar layers formed with carbon-containing precursors that have significant quantities of carbon present in the layer before the heat treatment step.
  • the volume reduction is slight enough (e.g., about 15 vol.% or less) to avoid post heat treatment steps to fill, heal, or otherwise eliminate spaces that form in the gap as a result of the shrinking silicon oxide.
  • the silicon oxide layer in the trench is substantially void- free.
  • the liquid bath, substrate and cured film may be held at the same temperature during the operation of dipping the cured film in the liquid bath.
  • the liquid bath may be between room temperature (25°C) and about 100°C, between about 40°C and about 95°C, between about 50°C and about 90°C, 60°C and about 90°C or between about 70°C and about 90°C in embodiments.
  • the duration of the liquid bath immerson may be less than 2 minutes, less than 5 minutes, less than 10 minutes, less than 30 minutes or less than an hour in
  • the liquid bath or solution comprises oxygen and hydrogen and may include one or more of water, hydrogen peroxide or ammonium hydroxide.
  • the silicon-and-oxygen film is dipped in the liquid solution and, in some embodiments, the substrate may be submerged in the liquid solution during dipping operation.
  • the liquid solution may be SCI or SC2 baths in embodiments.
  • the liquid solutions may comprise deionized water, at least 10% ammonium hydroxide and at least 10% hydrogen peroxide. All percentages are given herein by volume.
  • the liquid solution may comprise deionized water, at least 10% hydrochloric acid and at least 10% hydrogen peroxide.
  • Other liquid baths may be created which contain both oxygen and hydrogen. The inventors have also discovered that the rate of conversion to silicon oxide is increased when the pH is lowered into the acidic range or raised into the basic range.
  • Additional process parameters may be introduced during the description of an exemplary silicon oxide deposition system.
  • Deposition chambers may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers.
  • HDP-CVD high-density plasma chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • SACVD sub-atmospheric chemical vapor deposition
  • thermal chemical vapor deposition chambers among other types of chambers.
  • Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and
  • PRODUCER® PECVD chambers/systems available from Applied Materials, Inc. of Santa Clara, Calif.
  • substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL," the entire contents of which is herein incorporated by reference for all purposes.
  • Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.
  • FIG. 2 shows one such system 200 of deposition, baking and curing chambers according to disclosed embodiments.
  • a pair of FOUPs (front opening unified pods) 202 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 204 and placed into a low pressure holding area 206 before being placed into one of the wafer processing chambers 208a- f.
  • a second robotic arm 210 may be used to transport the substrate wafers from the holding area 206 to the processing chambers 208a-f and back.
  • the processing chambers 208a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer.
  • two pairs of the processing chamber e.g., 208c-d and 208e-f
  • the third pair of processing chambers e.g., 208a-b
  • the same two pairs of processing chambers may be configured to both deposit and anneal a flowable dielectric film on the substrate, while the third pair of chambers (e.g., 208a-b) may be used for UV or E-beam curing of the deposited film.
  • all three pairs of chambers e.g., 208a-f may be configured to deposit and cure a flowable dielectric film on the substrate.
  • two pairs of processing chambers may be used for both deposition and UV or E-beam curing of the flowable dielectric, while a third pair of processing chambers (e.g. 208a-b) may be used for annealing the dielectric film.
  • Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in different embodiments.
  • one or more of the process chambers 208a-f may be configured as a wet treatment chamber. These process chambers include heating the flowable dielectric film in an atmosphere that includes moisture.
  • embodiments of system 200 may include wet treatment chambers 208a-b and anneal processing chambers 208c-d to perform both wet and dry anneals on the deposited dielectric film.
  • FIG. 3A is a substrate processing chamber 300 according to disclosed embodiments.
  • a remote plasma system (RPS) 310 may process a gas which then travels through a gas inlet assembly 31 1. Two distinct gas supply channels are visible within the gas inlet assembly 31 1.
  • a first channel 312 carries a gas that passes through the remote plasma system (RPS) 310, while a second channel 313 bypasses the RPS 310.
  • the first channel 312 may be used for the process gas and the second channel 313 may be used for a treatment gas in disclosed embodiments.
  • the lid (or conductive top portion) 321 and a perforated partition 353 are shown with an insulating ring 324 in between, which allows an AC potential to be applied to the lid 321 relative to perforated partition 353.
  • the process gas travels through first channel 312 into chamber plasma region 320 and may be excited by a plasma in chamber plasma region 320 alone or in combination with RPS 310.
  • the combination of chamber plasma region 320 and/or RPS 310 may be referred to as a remote plasma system herein.
  • the perforated partition (also referred to as a showerhead) 353 separates chamber plasma region 320 from a substrate processing region 370 beneath showerhead 353.
  • showerhead 353 allows a plasma present in chamber plasma region 320 to avoid directly exciting gases in substrate processing region 370, while still allowing excited species to travel from chamber plasma region 320 into substrate processing region 370.
  • showerhead 353 is positioned between chamber plasma region 320 and substrate processing region 370 and allows plasma effluents (excited derivatives of precursors or other gases) created within chamber plasma region 320 to pass through a plurality of through holes 356 that traverse the thickness of the plate.
  • the showerhead 353 also has one or more hollow volumes 351 which can be filled with a precursor in the form of a vapor or gas (such as a silicon-containing precursor) and pass through small holes 355 into substrate processing region 370 but not directly into chamber plasma region 320.
  • showerhead 353 is thicker than the length of the smallest diameter 350 of the through-holes 356 in this disclosed
  • the length 326 of the smallest diameter 350 of the through-holes may be restricted by forming larger diameter portions of through-holes 356 part way through the showerhead 353.
  • the length of the smallest diameter 350 of the through-holes 356 may be the same order of magnitude as the smallest diameter of the through-holes 356 or less in disclosed embodiments.
  • showerhead 353 may distribute (via through holes 356) process gases which contain oxygen, hydrogen and/or nitrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 320.
  • the process gas introduced into the RPS 310 and/or chamber plasma region 320 through first channel 312 may contain one or more of oxygen ((3 ⁇ 4), ozone (O 3 ), 2O, NO, NO2, NH 3 , N x H y including N2H4, silane, disilane, TSA and DSA.
  • the process gas may also include a carrier gas such as helium, argon, nitrogen (N 2 ), etc.
  • the second channel 313 may also deliver a process gas and/or a carrier gas, and/or a film-curing gas (e.g. O 3 ) used to remove an unwanted component from the growing or as-deposited film.
  • Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-oxygen precursor and/or a radical-nitrogen precursor referring to the atomic constituents of the process gas introduced.
  • the number of through-holes 356 may be between about 60 and about 2000.
  • Through-holes 356 may have a variety of shapes but are most easily made round.
  • the smallest diameter 350 of through holes 356 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in disclosed embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or a combination of the two shapes.
  • the number of small holes 355 used to introduce a gas into substrate processing region 370 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments.
  • the diameter of the small holes 355 may be between about 0.1 mm and about 2 mm.
  • FIG. 3B is a bottom view of a showerhead 353 for use with a processing chamber according to disclosed embodiments.
  • showerhead 353 corresponds with the showerhead shown in FIG. 3 A.
  • Through-holes 356 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 353 and a smaller ID at the top.
  • Small holes 355 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 356 which helps to provide more even mixing than other embodiments described herein.
  • ID inner-diameter
  • An exemplary film is created on a substrate supported by a pedestal (not shown) within substrate processing region 370 when plasma effluents arriving through through-holes 356 in showerhead 353 combine with a silicon-containing precursor arriving through the small holes 355 originating from hollow volumes 351.
  • substrate processing region 370 may be equipped to support a plasma for other processes such as curing, no plasma is present during the growth of the exemplary film.
  • a plasma may be ignited either in chamber plasma region 320 above showerhead 353 or substrate processing region 370 below showerhead 353.
  • a plasma is present in chamber plasma region 320 to produce the radical nitrogen precursor from an inflow of a nitrogen- and-hydrogen-containing gas.
  • An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion 321 of the processing chamber and showerhead 353 to ignite a plasma in chamber plasma region 320 during deposition.
  • An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
  • the top plasma may be left at low or no power when the bottom plasma in the substrate processing region 370 is turned on during the second curing stage or clean the interior surfaces bordering substrate processing region 370.
  • a plasma in substrate processing region 370 is ignited by applying an AC voltage between showerhead 353 and the pedestal or bottom of the chamber.
  • a cleaning gas may be introduced into substrate processing region 370 while the plasma is present.
  • the pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from room temperature through about 120°C).
  • the heat exchange fluid may comprise ethylene glycol and water.
  • the wafer support platter of the pedestal may also be resistively heated in order to achieve relatively high temperatures (from about 120°C through about 1100°C) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles.
  • An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
  • the wiring to the heater element passes through the stem of the pedestal.
  • the substrate processing system is controlled by a system controller.
  • the system controller includes a hard disk drive, a floppy disk drive and a processor.
  • the processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards.
  • SBC single-board computer
  • Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types.
  • VME Versa Modular European
  • the VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
  • the system controller controls all of the activities of the deposition system.
  • the system controller executes system control software, which is a computer program stored in a computer-readable medium.
  • the medium is a hard disk drive, but the medium may also be other kinds of memory.
  • the computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process.
  • Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to instruct the system controller.
  • a process for depositing a film stack (e.g. sequential deposition of a silicon-nitrogen-and- hydrogen-containing layer and then a silicon-oxygen-and-carbon-containing layer) on a substrate, converting a film to silicon oxide or a process for cleaning a chamber can be implemented using a computer program product that is executed by the system controller.
  • the computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer.
  • the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines.
  • object code of precompiled Microsoft Windows® library routines.
  • the system user invokes the object code, causing the computer system to load the code in memory.
  • the CPU then reads and executes the code to perform the tasks identified in the program.
  • the interface between a user and the controller is via a flat-panel touch-sensitive monitor.
  • two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians.
  • the two monitors may simultaneously display the same information, in which case only one accepts input at a time.
  • the operator touches a designated area of the touch- sensitive monitor.
  • the touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the operator and the touch-sensitive monitor.
  • Other devices such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to the touch-sensitive monitor to allow the user to communicate with the system controller.
  • substrate may be a support substrate with or without layers formed thereon.
  • the support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits.
  • a layer of "silicon oxide” may include minority concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide consists essentially of silicon and oxygen.
  • precursor is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface.
  • a gas in an "excited state” describes a gas wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states.
  • a gas (or precursor) may be a combination of two or more gases (or precursors).
  • a "radical precursor” is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface.
  • a “radical-nitrogen precursor” is a radical precursor which contains nitrogen and a “radical-hydrogen precursor” is a radical precursor which contains hydrogen.
  • inert gas refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
  • trench is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes.
  • via is used to refer to a low aspect ratio trench which may or may not be filled with metal to form a vertical electrical connection.
  • a conformal layer refers to a generally uniform layer of material on a surface in the same shape as the surface, i.e., the surface of the layer and the surface being covered are generally parallel. A person having ordinary skill in the art will recognize that the deposited material likely cannot be 100% conformal and thus the term "generally" allows for acceptable tolerances.

Abstract

A method of forming a silicon oxide layer is described. The method first deposits a silicon-nitrogen-and-hydrogen-containing (polysilazane) film by radical-component chemical vapor deposition (CVD). The silicon-nitrogen-and-hydrogen-containing film is formed by combining a radical precursor (excited in a remote plasma) with an unexcited carbon-free silicon precursor. A capping layer is formed over the silicon-nitrogen-and-hydrogen-containing film to avoid time-evolution of underlying film properties prior to conversion into silicon oxide. The capping layer is formed by combining a radical oxygen precursor (excited in a remote plasma) with an unexcited silicon-and-carbon-containing-precursor. The films are converted to silicon oxide by exposure to oxygen-containing environments. The two films may be deposited within the same substrate processing chamber and may be deposited without breaking vacuum.

Description

CAPPING LAYER FOR REDUCED OUTGASSING
CROSS-REFERENCES TO RELATED APPLICATIONS This application is a PCT application of U.S. Patent Application No. 13/448,624, entitled "CAPPING LAYER FOR REDUCED OUTGASSING," filed April 17, 2012, and is related to and claims the benefit of U.S. Provisional Patent Application No. 61/492,914, entitled "CAPPING LAYER FOR REDUCED OUTGASSING," filed June 3, 201 1, both of which are incorporated herein by reference in their entirety for all purposes.
BACKGROUND OF THE INVENTION
Semiconductor device geometries have dramatically decreased in size since their introduction several decades ago. Modern semiconductor fabrication equipment routinely produce devices with 45 nm, 32 nm, and 28 nm feature sizes, and new equipment is being developed and implemented to make devices with even smaller geometries. The decreasing feature sizes result in structural features on the device having decreased spatial dimensions. The widths of gaps and trenches on the device narrow to a point where the aspect ratio of gap depth to its width becomes high enough to make it challenging to fill the gap with dielectric material. The depositing dielectric material is prone to clog at the top before the gap completely fills, producing a void or seam in the middle of the gap.
Over the years, many techniques have been developed to avoid having dielectric material clog the top of a gap, or to "heal" the void or seam that has been formed. One approach has been to start with highly flowable precursor materials that may be applied in a liquid phase to a spinning substrate surface (e.g., SOG deposition techniques). These flowable precursors can flow into and fill very small substrate gaps without forming voids or weak seams.
However, once these highly flowable materials are deposited, they have to be hardened into a solid dielectric material.
In many instances, the hardening includes a heat treatment to remove carbon and hydroxyl groups from the deposited material to leave behind a solid dielectric such as silicon oxide. Unfortunately, the departing carbon and hydroxyl species often leave behind pores in the hardened dielectic that reduce the quality of the final material. In addition, the hardening dielectric also tends to shrink in volume, which can leave cracks and spaces at the interface of the dielectric and the surrounding substrate. In some instances, the volume of the hardened dielectric can decrease by 40% or more.
Spin-on dielectrics (SOD) have also been used to flow into features on a patterned substrate. The material is generally converted to silicon oxide from a silazane-type film which contains silicon, nitrogen and hydrogen. Silicon, nitrogen and hydrogen containing films are typically converted to silicon oxide at high temperature in an oxygen containing environment. Oxygen from the environment displaces nitrogen and hydrogen to create the silicon oxide film. High temperature exposure to oxygen environments can ruin underlying films for some circuit architectures. This consideration results in the need to stay within a "thermal budget" during a manufacturing process flow. Thermal budget considerations have largely limited SOD to process flows incorporating an underlying silicon nitride layer which can protect underlying features from oxidation (e.g. DRAM applications).
Alternative methods have been developed which deposit silazane containing layers by radical-component CVD. Radical-component CVD can create a flowable film by exciting one precursor and combining it with an unexcited silicon-containing precursor in the plasma- free substrate processing region. Film properties, including density, may change slightly in time until the silazane containing film is converted to silicon oxide. Controlling the evolution of the film properties improves the manufacturability of devices using these films. Thus, there is a need for new deposition processes and materials to form dielectric materials which do not evolve over time. This and other needs are addressed in the present application.
BRIEF SUMMARY OF THE INVENTION
A method of forming a silicon oxide layer is described. The method first deposits a silicon- nitrogen-and-hydrogen-containing (polysilazane) film by radical-component chemical vapor deposition (CVD). The silicon-nitrogen-and-hydrogen-containing film is formed by combining a radical precursor (excited in a remote plasma) with an unexcited carbon-free silicon precursor. A capping layer is formed over the silicon-nitrogen-and-hydrogen- containing film to avoid time-evolution of underlying film properties prior to conversion into silicon oxide. The capping layer is formed by combining a radical oxygen precursor (excited in a remote plasma) with an unexcited silicon-and-carbon-containing-precursor. The films are converted to silicon oxide by exposure to oxygen-containing environments. The two films may be deposited within the same substrate processing chamber and may be deposited without breaking vacuum. Embodiments of the invention include methods of forming a silicon oxide layer on a substrate. The methods include forming a first layer comprising silicon, nitrogen and hydrogen by flowing an unexcited precursor into a remote plasma region to produce a radical-precursor, combining a carbon-free silicon-containing precursor with the radical- precursor in the plasma-free substrate processing region, and depositing a carbon-free silicon- nitrogen-and-hydrogen-containing layer over the substrate. The methods further include forming a second layer comprising silicon and carbon by flowing an unexcited oxygen- containing precursor into a remote plasma region to produce a radical-oxygen precursor, combining a silicon-and-carbon-containing precursor with the radical-oxygen precursor in the plasma- free substrate processing region, and depositing a silicon-oxygen-and-carbon- containing capping layer over the silicon-nitrogen-and-hydrogen-containing layer.
Additional embodiments and features are set forth in part in the description that follows, and in part will become apparent to those skilled in the art upon examination of the specification or may be learned by the practice of the invention. The features and advantages of the invention may be realized and attained by means of the instrumentalities, combinations, and methods described in the specification.
BRIEF DESCRIPTION OF THE DRAWINGS
A further understanding of the nature and advantages of the present invention may be realized by reference to the remaining portions of the specification and the drawings wherein like reference numerals are used throughout the several drawings to refer to similar components. In some instances, a sublabel is associated with a reference numeral and follows a hyphen to denote one of multiple similar components. When reference is made to a reference numeral without specification to an existing sublabel, it is intended to refer to all such multiple similar components. FIG. 1 is a flowchart illustrating selected steps for making a silicon oxide film according to embodiments of the invention.
FIG. 2 shows a substrate processing system according to embodiments of the invention. FIG. 3 A shows a substrate processing chamber according to embodiments of the invention. FIG. 3B shows a gas distribution showerhead according to embodiments of the invention. DETAILED DESCRIPTION OF THE INVENTION A method of forming a silicon oxide layer is described. The method first deposits a silicon- nitrogen-and-hydrogen-containing (polysilazane) film by radical-component chemical vapor deposition (CVD). The silicon-nitrogen-and-hydrogen-containing film is formed by combining a radical precursor (excited in a remote plasma) with an unexcited carbon-free silicon precursor. A capping layer is formed over the silicon-nitrogen-and-hydrogen- containing film to avoid time-evolution of underlying film properties prior to conversion into silicon oxide. The capping layer is formed by combining a radical oxygen precursor (excited in a remote plasma) with an unexcited silicon-and-carbon-containing-precursor. The films are converted to silicon oxide by exposure to oxygen-containing environments. The two films may be deposited within the same substrate processing chamber and may be deposited without breaking vacuum.
Capping a radical-component CVD silicon-nitrogen-and-hydrogen-containing film with a radical-component CVD silicon-oxygen-and-carbon-containing film has been found to reduce and/or eliminate outgassing and concomitant evolution of film properties over time upon exposing the substrate to air. Without the silicon-oxygen-and-carbon-containing capping layer, the properties and stoichiometry of the film may change over time. The films evolve in time due to the outgassing of silicon-containing species, ammonia and the like. The properties may also evolve due to the absorption of water or other components present in the surrounding atmosphere (air) within a typical fabrication facility. Changing film properties can complicate the manufacturing process by requiring rigid control over the delay between film formation and processing within another substrate processing system or chamber. These complications and requirements are undesirable. The silicon-oxygen-and-carbon-containing capping layers described herein have been found to suppress outgassing but still allow conversion of the underlying silicon-nitrogen-and-hydrogen-containing film to a silicon-and- oxygen-containing film and to silicon oxide.
Radical-component CVD films capped with silicon-oxygen-and-carbon-containing films according to the methods contained herein have been found to exhibit properties which do not evolve when the substrate is exposed to typical cleanroom atmospheres. Additional details about the methods and systems of forming the silicon oxide layer will now be described.
Exemplary Silicon Oxide Formation Process
FIG. 1 is a flowchart showing selected steps in a method 100 of making silicon oxide films according to embodiments of the invention. The method 100 includes providing a carbon- free silicon-containing precursor to a substrate processing region 102. The carbon-free silicon-containing precursor does not pass through a plasma excitation, in embodiments, so the precursor travels into the substrate processing region intact. Excitation is then provided only by the radical precursor to be described shortly. The carbon-free silicon-containing precursor may be, for example, a silicon-and-nitrogen-containing precursor, a silicon-and- hydrogen-containing precursor, or a silicon-nitrogen-and-hydrogen-containing precursor, among other classes of silicon precursors. The absence of carbon reduces the shrinkage of the deposited film. The silicon-containing precursor may be oxygen-free in addition to carbon- free. The lack of oxygen results in a lower concentration of silanol (Si-OH) groups in the silicon-and-nitrogen-containing layer formed from the precursors. Excess silanol moieties in the deposited film can cause increased porosity and shrinkage during post deposition steps that remove the hydroxyl (-OH) moieties from the deposited layer.
Specific examples of carbon-free silicon precursors may include silyl-amines such as H2N(SiH3), HN(SiH3)2, and N(SiH3)3, among other silyl-amines. The flow rates of a silyl- amine may be greater than or about 200 seem, greater than or about 300 seem or greater than or about 500 seem in different embodiments. All flow rates given herein refer to a dual chamber substrate processing system. Single wafer systems would require half these flow rates and other wafer shapes/sizes would require flow rates scaled by the processed area. These silyl-amines may be mixed with additional gases that may act as carrier gases, reactive gases, or both. Additional gases may include H2, N2, NH3, He, Ne and/or Ar, among other gases. Examples of carbon- free silicon-containing precursors may also include silane (SiH4) either alone or mixed with other silicon (e.g., N(SiH3)3), hydrogen (e.g., H2), and/or nitrogen (e.g., N2, NH3) containing gases. Carbon-free silicon-containing precursors may also include disilane, trisilane, even higher-order silanes, and chlorinated silanes, alone or in combination with one another or the previously mentioned carbon-free silicon-containing precursors.
A radical precursor is also provided to the substrate processing region 104. A radical precursor describes plasma effluents produced in the plasma excitation outside the substrate processing region from any stable species (inert or reactive). The radical precursor may be a nitrogen-containing radical precursor which will be referred to herein as a radical-nitrogen precursor. The radical-nitrogen precursor is a nitrogen-radical-containing precursor that was generated outside the substrate processing region from a more stable nitrogen precursor. A stable precursor may be referred to herein as an unexcited precursor to indicate that the precursor has not yet passed through a plasma. A stable nitrogen precursor compound containing NH3, hydrazine (N2H4) and/or N2 may be activated in a chamber plasma region or another remote plasma system (RPS) outside the processing chamber to form the radical- nitrogen precursor, which is then transported into the substrate processing region to excite the carbon- free silicon-containing precursor. The activation of the stable nitrogen precursor into the radical-nitrogen precursor involves dissociation which may be accomplished thermal dissociation, ultraviolet light dissociation, and/or plasma dissociation, among other methods. Plasma dissociation may involve striking a plasma from helium, argon, hydrogen (H2), xenon, ammonia (NH3), etc., in a remote plasma generating chamber and introducing the stable nitrogen precursor to the plasma region to generate the radical-nitrogen precursor.
The stable nitrogen precursor may also be a mixture comprising NH3 & N2, NH3 & H2, NH3 & 2 & H2 and 2 & H2, in different embodiments. Hydrazine may also be used in place of or in combination with NH3 and in the mixtures involving 2 and H2. The flow rate of the stable nitrogen precursor may be greater than or about 300 seem, greater than or about 500 seem or greater than or about 700 seem in different embodiments. The radical-nitrogen precursor produced in the chamber plasma region may be one or more of -N, -NH, -NH2, etc., and may also be accompanied by ionized species formed in the plasma. Sources of oxygen may also be combined with the more stable nitrogen precursor in the remote plasma in embodiments of the invention. The addition of a source of oxygen pre-loads the film with oxygen while decreasing flowability. Sources of oxygen may include one or more of 02, H20, 03, H202, N20, NO or N02.
In embodiments employing a chamber plasma region, the radical-nitrogen precursor is generated in a section of the substrate processing region partitioned from a deposition region where the precursors mix and react to deposit the silicon-and-nitrogen-containing layer on a deposition substrate (e.g., a semiconductor wafer). The radical-nitrogen precursor may also be accompanied by a carrier gas such as hydrogen (H2), nitrogen (N2), helium, neon, argon etc. The substrate processing region may be described herein as "plasma-free" during the growth of the silicon-nitrogen-and-hydrogen-containing layer and during subsequent processes. "Plasma- free" does not necessarily mean the region is devoid of plasma. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, e.g., a small amount of ionization may be initiated within the substrate processing region directly. Furthermore, a low intensity plasma may be created in the substrate processing region without compromising the flowable nature of the forming film. All causes for a plasma having much lower ion density than the chamber plasma region during the creation of the radical nitrogen precursor do not deviate from the scope of "plasma-free" as used herein.
In the substrate processing region, the carbon-free silicon precursor and the radical-nitrogen precursor mix and react to deposit a silicon-nitrogen-and-hydrogen-containing film on the deposition substrate 106. The deposited silicon-nitrogen-and-hydrogen-containing film may deposit conformally with some recipe combinations in embodiments. In other embodiments, the deposited silicon-nitrogen-and-hydrogen-containing film has flowable characteristics unlike conventional silicon nitride (S13N4) film deposition techniques. The flowable nature during formation allows the film to flow into narrow features before solidifying. The substrate temperature may be between about 0°C and about 225°C, between about room temperature (25°C) and about 125°C or between about 40°C and about 95°C in embodiments of the invention. These temperature ranges also apply to the capping layer described shortly in embodiments.
Nitrogen in the silicon-nitrogen-and-hydrogen-containing film may originate from either (or both) of the radical precursor or the unexcited precursor. The carbon- free silicon-containing precursor may be essentially nitrogen-free, in some embodiments. However, in other embodiments, both the carbon-free silicon-containing precursor and the radical-nitrogen precursor contain nitrogen. In a third suite of embodiments, the radical precursor may be essentially nitrogen-free and the nitrogen for the silicon-nitrogen-and-hydrogen-containing layer may be supplied by the carbon-free silicon-containing precursor. As a result, the radical precursor may be referred to herein as a "radical-nitrogen-and/or-hydrogen precursor," which means that the precursor contains nitrogen and/or hydrogen. Analogously, the precursor flowed into the plasma region to form the radical-nitrogen-and/or-hydrogen precursor may be referred to as a nitrogen-and/or-hydrogen-containing precursor. This nomenclature may be applied to each of the embodiments disclosed herein. In embodiments, the nitrogen-and/or- hydrogen-containing precursor comprises hydrogen (¾) while the radical-nitrogen-and/or- hydrogen precursor comprises Ή, etc.
Returning to the specific example shown in FIG. 1, the flowability of a silicon-nitrogen-and- hydrogen-containing film may be due to a variety of properties which result from mixing a radical-nitrogen precursors with a carbon-free silicon-containing precursor. These properties may include a significant hydrogen component in the deposited film and/or the presence of short chained polysilazane polymers. These short chains grow and network to form more dense dielectric material during and after the formation of the film. For example the deposited film may have a silazane-type, Si-NH-Si backbone (i.e., a carbon-free Si-N-H film). When both the silicon-containing precursor and the radical precursor are carbon-free, the deposited silicon-nitrogen-and-hydrogen-containing film is also substantially carbon-free. Of course, "carbon- free" does not necessarily mean the film lacks even trace amounts of carbon. Carbon contaminants may be present in the precursor materials that find their way into the deposited silicon-and-nitrogen-containing precursor. The amount of these carbon impurities however are much less than would be found in a silicon precursor having a carbon moiety (e.g., tetraethylorthosilicate, tetramethyldisiloxane, etc.).
At this point in the process, the process effluents may be removed from the substrate processing region in embodiments of the invention. Process effluents may include any unreacted carbon- free silicon-containing precursor, unreacted radical-nitrogen precursor, inert carrier gases and reaction by-products from the film growth. The process effluents may be displaced by flowing inert species into the substrate processing region and/or by exhaustion through an exhaust port in disclosed embodiments. Prior to the deposition of the capping layer, the substrate may not be exposed to air in order to further minimize any evolution of film properties.
The method 100 also includes the remote generation of a radical-oxygen precursor in a remote plasma system (RPS) outside the deposition region 108. The radical-oxygen precursor includes the plasma effluents created in the RPS and may contain atomic oxygen. The same RPS may be used to create the radical-oxygen precursor of operation 108 as was used to create the radical-nitrogen precursor in operation 104 in embodiments. The radical- oxygen precursor may be generated by the dissociation of an oxygen containing precursor such as molecular oxygen ((¾), ozone (O3), an nitrogen-oxygen compound (e.g., NO, NO2, N2O, etc.), a hydrogen-oxygen compound (e.g., H20, H2O2, etc.), a carbon-oxygen compound (e.g., CO, CO2, etc.), as well as other oxygen containing precursors and combinations of precursors. The dissociation of the oxygen containing precursor to generate the radical- oxygen precursor may be done by the same means used to create the radical-nitrogen precursor in operation 104.
The radical-oxygen precursor is then introduced to the deposition chamber 108 where it may mix for the first time with a silicon-and-carbon-containing precursor, which is also introduced to the chamber 1 10. The radical-oxygen precursor reacts with the silicon precursor (and other deposition precursors that may be present in the reaction chamber) at moderate temperatures (e.g., reaction temperatures less than 100°C in embodiments) and pressures (e.g., about 0.1 Torr to about 10 Torr; 0.5 to 6 Torr total chamber pressure, etc.) to form a silicon-oxygen-and-carbon-containing film 112. Generally speaking, the silicon- oxygen-and-carbon-containing film may be a silicon-and-oxygen-containing layer. During the deposition, the wafer may be adjusted (i.e., heated or cooled) by a wafer pedestal that supports the wafer to a temperature of about 0°C to about 150°C. Process parameters described for the carbon-free silicon-nitrogen-and-hydrogen-containing layer formation process or the silicon-oxygen-and-carbon-containing layer formation process also apply to the other layer in embodiments. The thickness of the silicon-oxygen-and-carbon-containing capping layer (or a silicon-and-oxygen-containing capping layer) is greater than about 100 A, 150A or 200A in disclosed embodiments.
The silicon-and-carbon-containing precursor may include a organosilane compound with direct Si-C bonding and/or compounds with Si-O-C bonding. Examples of organosilane silicon precursors may include dimethylsilane, trimethylsilane, tetramethylsilane, tetramethyldisiloxane, diethylsilane, tetramethylorthosilicate, tetraethylorthosilicate, octamethyltrisiloxane, octamethylcyclotetrasiloxane, tetramethyldimethyldimethoxydisilane, tetramethylcyclotetrasiloxane, dimethyldimethoxysilane, diethylmethylsilane,
methyltriethoxysilane, phenyldimethylsilane, and phenylsilane, among others. The silicon- and-carbon-containing precursor may be mixed with a carrier gas before or during its introduction to the deposition chamber. A carrier gas may be an inactive gas that does not unduly interfere with the formation of the oxide film on the substrate. Examples of carrier gases include helium, neon, argon, nitrogen (N2), and hydrogen (H2), among other gases. No curing operation is required following formation of the second layer (the capping layer) to prevent evolution of film properties over time upon exposure to air, in embodiments. Curing may still be carried out to convert the film stack to a silicon-and-oxygen-containing layer. The film stack may be cured after method 100 is complete. The curing stage involves exposing the silicon-nitrogen-and-hydrogen-containing layer to an ozone-containing atmosphere. Ozone is generated outside the substrate processing region, in embodiments, and flowed into the substrate processing region. Plasma power may or may not be applied to the substrate processing region to further excite the ozone atmosphere in different embodiments of the invention. Absence of plasma, in embodiments, avoids generation of atomic oxygen which could modify the near surface network and thwart subsurface oxidation. The reduction of nitrogen and increase in oxygen occurs not only near the surface, but also in the subsurface region due to the ability of relatively stable ozone to penetrate the network of the silicon-nitrogen-and-hydrogen layer. A plasma may subsequently be applied to the substrate processing region to excite the ozone atmosphere in another stage of ozone curing in embodiments. Next, assorted parameters are described which apply to the curing operation. The deposition substrate may remain in the substrate processing region for curing, or the substrate may be transferred to a different chamber where the ozone-containing atmosphere is introduced. The curing temperature of the substrate during either/both stages may be less than or about 300°C, less than or about 250°C, less than or about 225°C, or less than or about 200°C in different embodiments. The temperature of the substrate may be greater than or about room temperature (25°C), greater than or about 50°C, greater than or about 100°C, greater than or about 125°C or greater than or about 150°C in different embodiments. Any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature according to additional disclosed embodiments. The flow rate of the ozone (just the ozone contribution) into the substrate processing region during the curing operation may be greater than 500 seem, greater than 1 slm or greater than 2 slm in disclosed embodiments. The partial pressure of ozone during the curing operation may be greater than or about 20 Torr, greater than or about 30 Torr, greater than or about 50 Torr or greater than or about 100 Torr in disclosed embodiments.
The curing operation modified the silicon-nitrogen-and-hydrogen-containing layer into a silicon-and-oxygen-containing layer. The silicon-and-oxygen-containing layer may be converted into a silicon oxide layer by annealing the substrate at relatively high temperature in an oxygen-containing environment or by exposing the substrate to water at much lower temperatures. The deposition substrate may remain in the same substrate processing region used for curing when the oxygen-containing atmosphere is introduced, or the substrate may be transferred to a different chamber where the oxygen-containing atmosphere is introduced. The oxygen-containing atmosphere may include one or more oxygen-containing gases such as molecular oxygen ((¾), ozone (O3), water vapor (H2O), hydrogen peroxide (H2O2) and nitrogen-oxides (NO, NO2, etc.), among other oxygen-containing gases. The oxygen- containing atmosphere may also include radical oxygen and hydroxyl species such as atomic oxygen (O), hydroxides (OH), etc., that may be generated remotely and transported into the substrate chamber. Ions of oxygen-containing species may also be present. The oxygen anneal temperature of the substrate may be less than or about 1 100°C, less than or about 1000°C, less than or about 900°C or less than or about 800°C in different embodiments. The temperature of the substrate may be greater than or about 500°C, greater than or about 600°C, greater than or about 700°C or greater than or about 800°C in different embodiments. Once again, any of the upper bounds may be combined with any of the lower bounds to form additional ranges for the substrate temperature according to additional disclosed
embodiments.
Alternatively or in combination, the silicon-and-oxygen-containing layer may be converted to silicon oxide by exposing the silicon-and-oxygen-containing layer to a humid environment. The humid environment may be provided in the same region used for curing or the substrate may be moved to a separate processing station in disclosed embodiments. The humid environment may have a relative humidity greater than 50%, greater than 60%, greater than 70%, greater than 75%, greater than 80% or greater than 85% in embodiments of the invention. The substrate temperature may be between room temperature (25°C) and about 100°C, between about 40°C and about 95°C, between about 50°C and about 90°C, 60°C and about 90°C or between about 70°C and about 90°C in embodiments. The duration of the humidity treatment may be less than 2 minutes, less than 5 minutes, less than 10 minutes, less than 30 minutes or less than an hour in embodiments of the invention.
The ozone curing operation typically takes place at higher substrate temperature than the humidity treatment. The curing operation and the humidity treatment may be carried out in separate chambers/stations, in embodiments, since these low temperatures are somewhat hard to precisely adjust within the same region. The inclusion of the low temperature humidity treatment described herein makes a high temperature oxygen atmosphere anneal (e.g. around 400°C or higher) unnecessary. The curing operation in combination with the humidity treatment completes the silicon oxide conversion process in embodiments of the invention. In other embodiments, only the humidity treatment is used to perform the conversion process. In either case, the removal of a high temperature oxygen treatment allows the conversion process to occur without oxidizing underlying layers. The absence of a high temperature anneal in an oxygen atmosphere enables integrated circuit manufacturers to stay within oxidation budgets. Removing these higher temperature oxygen anneals improves yield and performance of integrated circuit devices. Though an oxidizing anneal has been obviated by the invention described herein, a high temperature inert anneal may still be included, in embodiments, to densify the silicon oxide film. A high temperature anneal in an inert environment counts toward a thermal budget but not towards the more specific oxidation budget, each of which are determined for and associated with a particular process flow.
The ozone-containing atmospheres of the curing, annealing and the humidity treatment provides oxygen to convert the silicon-nitrogen-and-hydrogen-containing film into the silicon oxide (S1O2) film. Alternative humidity treatments will be described shortly. The substrate used for depositing the silicon-nitrogen-and-hydrogen-containing layer and the capping layer may be a patterned substrate and may have a plurality of gaps for the spacing and structure of device components (e.g., transistors) formed on the substrate. The gaps may have a height and width that define an aspect ratio (AR) of the height to the width (i.e., H/W) that is significantly greater than 1 : 1 (e.g., 5: 1 or more, 6: 1 or more, 7: 1 or more, 8: 1 or more, 9: 1 or more, 10: 1 or more, 1 1 : 1 or more, 12: 1 or more, etc.). In many instances the high AR is due to small gap widths of that range from about 90 nm to about 22 nm or less (e.g., less than 90 nm, 65 nm, 50 nm, 45 nm, 32 nm, 22 nm, 16 nm, etc.). Because the silicon-nitrogen- and-hydrogen-containing layer is flowable, it can fill gaps with high aspect ratios without creating voids or weak seams around the center of the filling material. For example, a depositing flowable material is less likely to prematurely clog the top of a gap before it is completely filled to leave a void in the middle of the gap.
The substrate is then transferred out of the ozone-containing atmosphere and the silicon-and- oxygen-containing layer is dipped into a liquid solution comprising both oxygen and hydrogen to complete the conversion to a silicon oxide layer. Further anneals may not be necessary, in embodiments of the invention, due to the presence of the liquid solution step. Ozone curing and dipping the resulting film in the liquid bath, as described herein, produces a silicon oxide layer on the substrate, including the substrate gap. As noted above, the silicon oxide layer has fewer pores and less volume reduction than similar layers formed with carbon-containing precursors that have significant quantities of carbon present in the layer before the heat treatment step. In many cases, the volume reduction is slight enough (e.g., about 15 vol.% or less) to avoid post heat treatment steps to fill, heal, or otherwise eliminate spaces that form in the gap as a result of the shrinking silicon oxide. In some embodiments, the silicon oxide layer in the trench is substantially void- free. The liquid bath, substrate and cured film may be held at the same temperature during the operation of dipping the cured film in the liquid bath. The liquid bath may be between room temperature (25°C) and about 100°C, between about 40°C and about 95°C, between about 50°C and about 90°C, 60°C and about 90°C or between about 70°C and about 90°C in embodiments. The duration of the liquid bath immerson may be less than 2 minutes, less than 5 minutes, less than 10 minutes, less than 30 minutes or less than an hour in
embodiments of the invention. Subsequent high temperature oxygen anneals have been found to be unnecessary, in embodiments of the invention, once a silicon-nitrogen-and- hydrogen layer has been sequentially treated with an ozone cure and then a liquid bath as described herein. The inventors have further found that the liquid baths may be sufficient to transition a silicon-and-oxygen-containing layer to silicon oxide in some cases. Neither a preceding ozone cure nor a subsequent high temperature oxygen anneal was necessary to achieve silicon oxide.
The liquid bath or solution comprises oxygen and hydrogen and may include one or more of water, hydrogen peroxide or ammonium hydroxide. The silicon-and-oxygen film is dipped in the liquid solution and, in some embodiments, the substrate may be submerged in the liquid solution during dipping operation. The liquid solution may be SCI or SC2 baths in embodiments. The liquid solutions may comprise deionized water, at least 10% ammonium hydroxide and at least 10% hydrogen peroxide. All percentages are given herein by volume. The liquid solution may comprise deionized water, at least 10% hydrochloric acid and at least 10% hydrogen peroxide. Other liquid baths may be created which contain both oxygen and hydrogen. The inventors have also discovered that the rate of conversion to silicon oxide is increased when the pH is lowered into the acidic range or raised into the basic range.
Additional process parameters may be introduced during the description of an exemplary silicon oxide deposition system.
Exemplary Silicon Oxide Deposition System
Deposition chambers that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and
PRODUCER® PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, Calif. Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL," the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.
Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 2 shows one such system 200 of deposition, baking and curing chambers according to disclosed embodiments. In the figure, a pair of FOUPs (front opening unified pods) 202 supply substrate substrates (e.g., 300 mm diameter wafers) that are received by robotic arms 204 and placed into a low pressure holding area 206 before being placed into one of the wafer processing chambers 208a- f. A second robotic arm 210 may be used to transport the substrate wafers from the holding area 206 to the processing chambers 208a-f and back.
The processing chambers 208a-f may include one or more system components for depositing, annealing, curing and/or etching a flowable dielectric film on the substrate wafer. In one configuration, two pairs of the processing chamber (e.g., 208c-d and 208e-f) may be used to deposit the flowable dielectric material on the substrate, and the third pair of processing chambers (e.g., 208a-b) may be used to anneal the deposited dielectic. In another configuration, the same two pairs of processing chambers (e.g., 208c-d and 208e-f) may be configured to both deposit and anneal a flowable dielectric film on the substrate, while the third pair of chambers (e.g., 208a-b) may be used for UV or E-beam curing of the deposited film. In still another configuration, all three pairs of chambers (e.g., 208a-f) may be configured to deposit and cure a flowable dielectric film on the substrate. In yet another configuration, two pairs of processing chambers (e.g., 208c-d and 208e-f) may be used for both deposition and UV or E-beam curing of the flowable dielectric, while a third pair of processing chambers (e.g. 208a-b) may be used for annealing the dielectric film. Any one or more of the processes described may be carried out on chamber(s) separated from the fabrication system shown in different embodiments.
In addition, one or more of the process chambers 208a-f may be configured as a wet treatment chamber. These process chambers include heating the flowable dielectric film in an atmosphere that includes moisture. Thus, embodiments of system 200 may include wet treatment chambers 208a-b and anneal processing chambers 208c-d to perform both wet and dry anneals on the deposited dielectric film.
FIG. 3A is a substrate processing chamber 300 according to disclosed embodiments. A remote plasma system (RPS) 310 may process a gas which then travels through a gas inlet assembly 31 1. Two distinct gas supply channels are visible within the gas inlet assembly 31 1. A first channel 312 carries a gas that passes through the remote plasma system (RPS) 310, while a second channel 313 bypasses the RPS 310. The first channel 312 may be used for the process gas and the second channel 313 may be used for a treatment gas in disclosed embodiments. The lid (or conductive top portion) 321 and a perforated partition 353 are shown with an insulating ring 324 in between, which allows an AC potential to be applied to the lid 321 relative to perforated partition 353. The process gas travels through first channel 312 into chamber plasma region 320 and may be excited by a plasma in chamber plasma region 320 alone or in combination with RPS 310. The combination of chamber plasma region 320 and/or RPS 310 may be referred to as a remote plasma system herein. The perforated partition (also referred to as a showerhead) 353 separates chamber plasma region 320 from a substrate processing region 370 beneath showerhead 353. Showerhead 353 allows a plasma present in chamber plasma region 320 to avoid directly exciting gases in substrate processing region 370, while still allowing excited species to travel from chamber plasma region 320 into substrate processing region 370. Showerhead 353 is positioned between chamber plasma region 320 and substrate processing region 370 and allows plasma effluents (excited derivatives of precursors or other gases) created within chamber plasma region 320 to pass through a plurality of through holes 356 that traverse the thickness of the plate. The showerhead 353 also has one or more hollow volumes 351 which can be filled with a precursor in the form of a vapor or gas (such as a silicon-containing precursor) and pass through small holes 355 into substrate processing region 370 but not directly into chamber plasma region 320. Showerhead 353 is thicker than the length of the smallest diameter 350 of the through-holes 356 in this disclosed
embodiment. In order to maintain a significant concentration of excited species penetrating from chamber plasma region 320 to substrate processing region 370, the length 326 of the smallest diameter 350 of the through-holes may be restricted by forming larger diameter portions of through-holes 356 part way through the showerhead 353. The length of the smallest diameter 350 of the through-holes 356 may be the same order of magnitude as the smallest diameter of the through-holes 356 or less in disclosed embodiments.
In the embodiment shown, showerhead 353 may distribute (via through holes 356) process gases which contain oxygen, hydrogen and/or nitrogen and/or plasma effluents of such process gases upon excitation by a plasma in chamber plasma region 320. In embodiments, the process gas introduced into the RPS 310 and/or chamber plasma region 320 through first channel 312 may contain one or more of oxygen ((¾), ozone (O3), 2O, NO, NO2, NH3, NxHy including N2H4, silane, disilane, TSA and DSA. The process gas may also include a carrier gas such as helium, argon, nitrogen (N2), etc. The second channel 313 may also deliver a process gas and/or a carrier gas, and/or a film-curing gas (e.g. O3) used to remove an unwanted component from the growing or as-deposited film. Plasma effluents may include ionized or neutral derivatives of the process gas and may also be referred to herein as a radical-oxygen precursor and/or a radical-nitrogen precursor referring to the atomic constituents of the process gas introduced.
In embodiments, the number of through-holes 356 may be between about 60 and about 2000. Through-holes 356 may have a variety of shapes but are most easily made round. The smallest diameter 350 of through holes 356 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6 mm in disclosed embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or a combination of the two shapes. The number of small holes 355 used to introduce a gas into substrate processing region 370 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments. The diameter of the small holes 355 may be between about 0.1 mm and about 2 mm.
FIG. 3B is a bottom view of a showerhead 353 for use with a processing chamber according to disclosed embodiments. Showerhead 353 corresponds with the showerhead shown in FIG. 3 A. Through-holes 356 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 353 and a smaller ID at the top. Small holes 355 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 356 which helps to provide more even mixing than other embodiments described herein.
An exemplary film is created on a substrate supported by a pedestal (not shown) within substrate processing region 370 when plasma effluents arriving through through-holes 356 in showerhead 353 combine with a silicon-containing precursor arriving through the small holes 355 originating from hollow volumes 351. Though substrate processing region 370 may be equipped to support a plasma for other processes such as curing, no plasma is present during the growth of the exemplary film.
A plasma may be ignited either in chamber plasma region 320 above showerhead 353 or substrate processing region 370 below showerhead 353. A plasma is present in chamber plasma region 320 to produce the radical nitrogen precursor from an inflow of a nitrogen- and-hydrogen-containing gas. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion 321 of the processing chamber and showerhead 353 to ignite a plasma in chamber plasma region 320 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 370 is turned on during the second curing stage or clean the interior surfaces bordering substrate processing region 370. A plasma in substrate processing region 370 is ignited by applying an AC voltage between showerhead 353 and the pedestal or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 370 while the plasma is present. The pedestal may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures (from room temperature through about 120°C). The heat exchange fluid may comprise ethylene glycol and water. The wafer support platter of the pedestal (preferably aluminum, ceramic, or a combination thereof) may also be resistively heated in order to achieve relatively high temperatures (from about 120°C through about 1100°C) using an embedded single-loop embedded heater element configured to make two full turns in the form of parallel concentric circles. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The wiring to the heater element passes through the stem of the pedestal.
The substrate processing system is controlled by a system controller. In an exemplary embodiment, the system controller includes a hard disk drive, a floppy disk drive and a processor. The processor contains a single-board computer (SBC), analog and digital input/output boards, interface boards and stepper motor controller boards. Various parts of CVD system conform to the Versa Modular European (VME) standard which defines board, card cage, and connector dimensions and types. The VME standard also defines the bus structure as having a 16-bit data bus and a 24-bit address bus.
The system controller controls all of the activities of the deposition system. The system controller executes system control software, which is a computer program stored in a computer-readable medium. Preferably, the medium is a hard disk drive, but the medium may also be other kinds of memory. The computer program includes sets of instructions that dictate the timing, mixture of gases, chamber pressure, chamber temperature, RF power levels, susceptor position, and other parameters of a particular process. Other computer programs stored on other memory devices including, for example, a floppy disk or other another appropriate drive, may also be used to instruct the system controller.
A process for depositing a film stack (e.g. sequential deposition of a silicon-nitrogen-and- hydrogen-containing layer and then a silicon-oxygen-and-carbon-containing layer) on a substrate, converting a film to silicon oxide or a process for cleaning a chamber can be implemented using a computer program product that is executed by the system controller. The computer program code can be written in any conventional computer readable programming language: for example, 68000 assembly language, C, C++, Pascal, Fortran or others. Suitable program code is entered into a single file, or multiple files, using a conventional text editor, and stored or embodied in a computer usable medium, such as a memory system of the computer. If the entered code text is in a high level language, the code is compiled, and the resultant compiler code is then linked with an object code of precompiled Microsoft Windows® library routines. To execute the linked, compiled object code the system user invokes the object code, causing the computer system to load the code in memory. The CPU then reads and executes the code to perform the tasks identified in the program.
The interface between a user and the controller is via a flat-panel touch-sensitive monitor. In the preferred embodiment two monitors are used, one mounted in the clean room wall for the operators and the other behind the wall for the service technicians. The two monitors may simultaneously display the same information, in which case only one accepts input at a time. To select a particular screen or function, the operator touches a designated area of the touch- sensitive monitor. The touched area changes its highlighted color, or a new menu or screen is displayed, confirming communication between the operator and the touch-sensitive monitor. Other devices, such as a keyboard, mouse, or other pointing or communication device, may be used instead of or in addition to the touch-sensitive monitor to allow the user to communicate with the system controller.
As used herein "substrate" may be a support substrate with or without layers formed thereon. The support substrate may be an insulator or a semiconductor of a variety of doping concentrations and profiles and may, for example, be a semiconductor substrate of the type used in the manufacture of integrated circuits. A layer of "silicon oxide" may include minority concentrations of other elemental constituents such as nitrogen, hydrogen, carbon and the like. In some embodiments, silicon oxide consists essentially of silicon and oxygen. The term "precursor" is used to refer to any process gas which takes part in a reaction to either remove material from or deposit material onto a surface. A gas in an "excited state" describes a gas wherein at least some of the gas molecules are in vibrationally-excited, dissociated and/or ionized states. A gas (or precursor) may be a combination of two or more gases (or precursors). A "radical precursor" is used to describe plasma effluents (a gas in an excited state which is exiting a plasma) which participate in a reaction to either remove material from or deposit material on a surface. A "radical-nitrogen precursor" is a radical precursor which contains nitrogen and a "radical-hydrogen precursor" is a radical precursor which contains hydrogen. The phrase "inert gas" refers to any gas which does not form chemical bonds when etching or being incorporated into a film. Exemplary inert gases include noble gases but may include other gases so long as no chemical bonds are formed when (typically) trace amounts are trapped in a film.
The term "trench" is used throughout with no implication that the etched geometry has a large horizontal aspect ratio. Viewed from above the surface, trenches may appear circular, oval, polygonal, rectangular, or a variety of other shapes. The term "via" is used to refer to a low aspect ratio trench which may or may not be filled with metal to form a vertical electrical connection. As used herein, a conformal layer refers to a generally uniform layer of material on a surface in the same shape as the surface, i.e., the surface of the layer and the surface being covered are generally parallel. A person having ordinary skill in the art will recognize that the deposited material likely cannot be 100% conformal and thus the term "generally" allows for acceptable tolerances. Having described several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the invention. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
Where a range of values is provided, it is understood that each intervening value, to the tenth of the unit of the lower limit unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of these smaller ranges may independently be included or excluded in the range, and each range where either, neither or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural referents unless the context clearly dictates otherwise. Thus, for example, reference to "a process" includes a plurality of such processes and reference to "the precursor" includes reference to one or more precursor and equivalents thereof known to those skilled in the art, and so forth.
Also, the words "comprise," "comprising," "include," "including," and "includes" when used in this specification and in the following claims are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

WHAT IS CLAIMED IS:
1. A method of forming a silicon oxide layer on a substrate, the method comprising:
forming a first layer comprising silicon, nitrogen and hydrogen by:
flowing an unexcited precursor into a first remote plasma region to produce a radical-precursor,
combining a carbon-free silicon-containing precursor with the radical- precursor in a first plasma-free substrate processing region, and depositing a carbon-free silicon-nitrogen-and-hydrogen-containing layer over the substrate; and
forming a second layer comprising silicon and oxygen by:
flowing an unexcited oxygen-containing precursor into a second remote plasma region to produce a radical-oxygen precursor,
combining a silicon-and-carbon-containing precursor with the radical- oxygen precursor in a second plasma- free substrate processing region, and depositing a silicon-oxygen-and-carbon-containing capping layer over the carbon-free silicon-nitrogen-and-hydrogen-containing layer.
2. The method of claim 1 wherein the substrate is not exposed to air between forming the first layer and forming the second layer.
3. The method of claim 1 wherein unreacted precursors and reaction byproducts are removed from the first plasma- free substrate processing region after forming the first layer and before forming the second layer.
4. The method of claim 1 wherein the first remote plasma region is the same as the second remote plasma region and the first plasma-free substrate processing region is the same as the second plasma-free substrate processing region.
5. The method of claim 1 wherein a temperature of the substrate is greater than or about 0°C and less than or about 225°C during forming of the first layer and the second layer.
6. The method of claim 1 wherein no curing operation is required after forming the second layer to prevent evolution of film properties over time upon exposing the substrate to air.
7. The method of claim 1 wherein the silicon-and-carbon-containing precursor comprises one of dimethylsilane, trimethylsilane, tetramethylsilane, diethylsilane, tetramethylorthosilicate, tetramethyldisiloxane, tetraethylorthosilicate, octamethyltrisiloxane, octamethylcyclotetrasiloxane, tetramethyldimethyldimethoxydisilane,
tetramethylcyclotetrasiloxane, dimethyldimethoxysilane, diethylmethylsilane,
methyltriethoxysilane, phenyldimethylsilane, and phenylsilane.
8. The method of claim 1 further comprising curing the first and second layers in an ozone-containing atmosphere to convert the first and second layers to a silicon- and-oxygen-containing layer.
9. The method of claim 8 wherein a temperature of the substrate is greater than or about 75°C and less than or about 225°C during the curing operation.
10. The method of claim 8 further comprising exposing the silicon-and- oxygen-containing layer to a humid environment having at least 50% relative humidity to convert the silicon-and-oxygen-containing layer to a silicon oxide layer.
11. The method of claim 10 wherein a temperature of the substrate is greater than or about 25°C and less than 100°C during the exposing operation.
12. The method of claim 10 wherein the silicon oxide layer consists essentially of silicon and oxygen.
13. The method of claim 1 wherein a thickness of the second layer is between about ΙΟθΑ and about 300A.
14. The method of claim 1 wherein the carbon-free silicon-nitrogen-and- hydrogen-containing layer is flowable during deposition.
15. The method of claim 1 wherein the substrate is patterned and has a trench having a width of about 50 nm or less.
16. The method of claim 1 wherein the unexcited precursor comprises nitrogen and the radical-precursor is a radical-nitrogen precursor.
17. The method of claim 1 wherein the unexcited precursor comprises at least one of N2H2, NH3, N2 and H2.
18. The method of claim 1 wherein the carbon-free silicon-containing precursor comprises one of N(SiH3)H2, N(SiH3)2H or N(SiH3)3.
19. The method of claim 1 further comprising removing process effluents from the first plasma-free substrate processing region between the operations of forming the first and second layers.
PCT/US2012/039629 2011-06-03 2012-05-25 Capping layer for reduced outgassing WO2012166618A2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201161492914P 2011-06-03 2011-06-03
US61/492,914 2011-06-03
US13/448,624 2012-04-17
US13/448,624 US8466073B2 (en) 2011-06-03 2012-04-17 Capping layer for reduced outgassing

Publications (2)

Publication Number Publication Date
WO2012166618A2 true WO2012166618A2 (en) 2012-12-06
WO2012166618A3 WO2012166618A3 (en) 2013-02-28

Family

ID=47260223

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2012/039629 WO2012166618A2 (en) 2011-06-03 2012-05-25 Capping layer for reduced outgassing

Country Status (3)

Country Link
US (1) US8466073B2 (en)
TW (1) TW201308432A (en)
WO (1) WO2012166618A2 (en)

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2013516763A (en) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド Dielectric film growth using radicals generated using a flexible nitrogen / hydrogen ratio
KR101528832B1 (en) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
KR101853802B1 (en) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2016178991A1 (en) * 2015-05-02 2016-11-10 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10480066B2 (en) 2015-12-19 2019-11-19 Applied Materials, Inc. Metal deposition methods
TWI716511B (en) * 2015-12-19 2021-01-21 美商應用材料股份有限公司 Conformal amorphous silicon as nucleation layer for w ald process
KR102458309B1 (en) 2015-12-28 2022-10-24 삼성전자주식회사 Method of forming a SiOCN material layer and method of fabricating a semiconductor device
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) * 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
JP7190450B2 (en) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド Dry stripping of boron carbide hardmask
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
WO2019055415A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) * 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
JP7330181B2 (en) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド High-pressure steam annealing treatment equipment
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
JP7299898B2 (en) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド Seam repair using high pressure annealing
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
JP7179172B6 (en) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド Method for etching structures for semiconductor applications
KR20210077779A (en) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Film Deposition Using Enhanced Diffusion Process
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7278111B2 (en) * 2019-03-08 2023-05-19 株式会社Screenホールディングス Heat treatment method and heat treatment apparatus
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US20110034039A1 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable cvd processes
US20110129616A1 (en) * 2009-12-02 2011-06-02 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component cvd films

Family Cites Families (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
FR2598520B1 (en) 1986-01-21 1994-01-28 Seiko Epson Corp MINERAL PROTECTIVE FILM
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (en) 1990-03-07 1991-11-15 Hitachi Ltd Surface processing device
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
KR930009549B1 (en) 1990-11-28 1993-10-06 현대전자산업 주식회사 High resistivity attaining method of poly-silicon
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (en) 1994-11-15 1996-06-07 Fujitsu Ltd Manufacture of semiconductor device with insulation film
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (en) 1995-12-28 1997-09-09 Toshiba Corp Semiconductor device and its manufacture
JP2871580B2 (en) 1996-03-29 1999-03-17 日本電気株式会社 Method for manufacturing semiconductor device
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
FR2759362B1 (en) 1997-02-10 1999-03-12 Saint Gobain Vitrage TRANSPARENT SUBSTRATE EQUIPPED WITH AT LEAST ONE THIN LAYER BASED ON SILICON NITRIDE OR OXYNITRIDE AND ITS PROCESS FOR OBTAINING IT
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (en) 1997-11-20 2001-03-07 日本電気株式会社 Method for manufacturing semiconductor device
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (en) 1997-12-01 2000-04-15 윤종용 Semiconductor element trench isolation method
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
DE60025872T2 (en) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Soluble silicone resin compositions
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (en) 1999-11-12 2001-05-25 Sony Corp Method of manufacturing nitride iii-v compound semiconductor and semiconductor device
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (en) 2000-09-22 2009-03-04 東京エレクトロン株式会社 Excited gas forming apparatus, processing apparatus and processing method
JP3712356B2 (en) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド Film-forming method and semiconductor device manufacturing method
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
KR100364026B1 (en) 2001-02-22 2002-12-11 삼성전자 주식회사 Method for forming inter layer dielectric film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
KR100897771B1 (en) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
JP3990920B2 (en) 2001-03-13 2007-10-17 東京エレクトロン株式会社 Film forming method and film forming apparatus
CN1302152C (en) 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
KR20030093270A (en) 2001-03-23 2003-12-06 다우 코닝 코포레이션 Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (en) 2001-04-27 2004-10-15 Atofina PROCESS FOR PRODUCING AQUEOUS SOLUTIONS OF QUATERNARY AMMONIUM UNSATURATED SALTS
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
JP2003017556A (en) 2001-06-29 2003-01-17 Mitsubishi Electric Corp Semiconductor device and method of manufacturing same
KR100421046B1 (en) 2001-07-13 2004-03-04 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (en) 2001-08-29 2004-04-30 삼성전자주식회사 Sti type semiconductor device and method of forming the same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (en) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 Silicon oxide film manufacturing method
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (en) 2002-01-10 2003-07-18 Toshiba Corp Semiconductor device and its manufacturing method
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3868324B2 (en) 2002-04-15 2007-01-17 三菱電機株式会社 Silicon nitride film forming method, film forming apparatus, and semiconductor device manufacturing method
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
WO2003090268A1 (en) 2002-04-19 2003-10-30 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (en) 2002-04-25 2005-01-29 삼성전자주식회사 Method for Atomic Layer Deposition of silicon oxide film using HCD source
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (en) 2002-08-30 2004-03-25 Fasl Japan Ltd Semiconductor storage device and its manufacturing method
KR100459724B1 (en) 2002-09-11 2004-12-03 삼성전자주식회사 Semiconductor device having a SiN etch stopper by low temperature ALD and fabricating method the same
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (en) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for producing silicon nitride film or silicon oxynitride film by thermal chemical vapor deposition
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
JP4142941B2 (en) * 2002-12-06 2008-09-03 株式会社東芝 Manufacturing method of semiconductor device
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (en) 2003-03-20 2004-10-14 Ushio Inc Method for producing chemotaxic function control membrane, artificial material and method for producing artificial material
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (en) 2003-04-24 2008-08-27 株式会社日立国際電気 Semiconductor raw materials
JP3976703B2 (en) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (en) 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (en) 2003-10-14 2009-06-24 東京エレクトロン株式会社 Film forming method and film forming apparatus
DE10350752A1 (en) 2003-10-30 2005-06-09 Infineon Technologies Ag A method of forming a dielectric on a copper-containing metallization and capacitor assembly
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (en) 2003-11-07 2009-06-03 株式会社島津製作所 Surface wave excitation plasma CVD equipment
US7321786B2 (en) 2003-12-17 2008-01-22 Cedraeus Incorporated Method for a random-based decision-making process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
WO2005078784A1 (en) 2004-02-17 2005-08-25 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (en) 2004-03-02 2009-06-17 株式会社アルバック Method for forming silicon nitride film
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (en) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 Vacuum processing equipment
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (en) 2004-04-07 2005-10-27 Toshiba Corp Semiconductor manufacturing equipment and semiconductor manufacturing method
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100580584B1 (en) 2004-05-21 2006-05-16 삼성전자주식회사 Method for cleaning a surface of a remote plasma generating tube and method and apparatus for processing a substrate using the same
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (en) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for manufacturing silicon nitride film
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (en) 2004-09-07 2006-02-08 삼성전자주식회사 Method for forming a layer in a semiconductor device and apparatus for performing the same
WO2006039503A2 (en) 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (en) 2004-11-11 2007-12-07 삼성전자주식회사 Device for making semiconductor
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (en) 2005-06-14 2012-12-05 株式会社東芝 Manufacturing method of semiconductor device
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (en) 2005-07-08 2012-01-25 富士通株式会社 Silica-based film forming material, silica-based film and manufacturing method thereof, multilayer wiring and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (en) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト Manufacturing method of organic siloxane insulating film, and manufacturing method of liquid crystal display device using organic siloxane insulating film manufactured by this manufacturing method as interlayer insulation
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (en) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (en) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP4618178B2 (en) 2006-03-27 2011-01-26 オムロン株式会社 Terminal and manufacturing method thereof
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR101304726B1 (en) 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (en) 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (en) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 Silicon oxide thin film forming equipment
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (en) 2007-02-27 2008-02-04 삼성전자주식회사 Method of fabricating semiconductor device
JP2008218684A (en) 2007-03-05 2008-09-18 Sony Corp Method of fabricating semiconductor device
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (en) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos semiconductor memory device
KR100866143B1 (en) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 Method for forming isolation layer of semiconductor device
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
TWI489547B (en) 2007-09-18 2015-06-21 Air Liquide Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP4935684B2 (en) 2008-01-12 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (en) 2008-04-02 2009-11-12 Nec Electronics Corp Semiconductor memory and method of manufacturing the same
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP4638550B2 (en) 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
JP2010183069A (en) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing apparatus
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5408483B2 (en) * 2009-07-03 2014-02-05 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (en) 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド Dielectric film growth using radicals generated using a flexible nitrogen / hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (en) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
KR101837648B1 (en) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 In­situ ozone cure for radical­component cvd
KR101853802B1 (en) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (en) 2010-04-05 2011-11-04 Denso Corp Exhaust gas circulation device
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (en) 2010-10-14 2014-08-06 株式会社東芝 Manufacturing method of semiconductor device
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US20110034039A1 (en) * 2009-08-06 2011-02-10 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable cvd processes
US20110129616A1 (en) * 2009-12-02 2011-06-02 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component cvd films

Also Published As

Publication number Publication date
WO2012166618A3 (en) 2013-02-28
US20120309205A1 (en) 2012-12-06
US8466073B2 (en) 2013-06-18
TW201308432A (en) 2013-02-16

Similar Documents

Publication Publication Date Title
US8466073B2 (en) Capping layer for reduced outgassing
US8445078B2 (en) Low temperature silicon oxide conversion
US9404178B2 (en) Surface treatment and deposition for reduced outgassing
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) Methods of curing non-carbon flowable CVD films
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
US8647992B2 (en) Flowable dielectric using oxide liner
US8563445B2 (en) Conformal layers by radical-component CVD
US8304351B2 (en) In-situ ozone cure for radical-component CVD
US8629067B2 (en) Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20120238108A1 (en) Two-stage ozone cure for dielectric films
US20120083133A1 (en) Amine curing silicon-nitride-hydride films
US20120177846A1 (en) Radical steam cvd
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
WO2011084532A2 (en) Dielectric film formation using inert gas excitation

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 12792883

Country of ref document: EP

Kind code of ref document: A2

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 12792883

Country of ref document: EP

Kind code of ref document: A2