WO2014100047A1 - Methods and apparatus for cleaning substrate structures with atomic hydrogen - Google Patents

Methods and apparatus for cleaning substrate structures with atomic hydrogen Download PDF

Info

Publication number
WO2014100047A1
WO2014100047A1 PCT/US2013/075881 US2013075881W WO2014100047A1 WO 2014100047 A1 WO2014100047 A1 WO 2014100047A1 US 2013075881 W US2013075881 W US 2013075881W WO 2014100047 A1 WO2014100047 A1 WO 2014100047A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
chamber
cleaning
structures
hydrogen
Prior art date
Application number
PCT/US2013/075881
Other languages
French (fr)
Inventor
Jeongwon Park
Joe Griffith Cruz
Pravin K. Narwankar
Murali K. Narasimhan
Bo Zheng
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Publication of WO2014100047A1 publication Critical patent/WO2014100047A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like

Abstract

Methods and apparatus for cleaning substrate structures are provided herein. In some embodiments, a method of cleaning structures of a substrate may include providing a hydrogen containing gas to a process chamber having a plurality of filaments; flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a first temperature sufficient to decompose at least a portion of the hydrogen containing gas; and cleaning structures of a substrate by exposing the structures to hydrogen atoms formed by the decomposition of the hydrogen containing gas.

Description

METHODS AND APPARATUS FOR CLEANING SUBSTRATE STRUCTURES
WITH ATOMIC HYDROGEN
FIELD
[0001] Embodiments of the present invention generally relate to semiconductor substrate processing, and more particularly, to methods for cleaning structures formed in or on a substrate surface.
BACKGROUND
[0002] Semiconductor device fabrication requires multiple process steps to complete a finished device. However, process steps or intervening conditions may produce unwanted materials {e.g., native oxide layers, contaminants, residues, or the like) that may deposit or form on structures of the device. Such materials are typically removed via substrate cleaning processes. Conventional substrate cleaning processes typically include exposing the device to a plasma formed from a process gas (e.g. a fluorine containing gas) under high temperature and/or pressure. However, the inventors have observed that such methods may result in unacceptable damage to the device structures.
[0003] Therefore, the inventors have provided improved methods of cleaning device surfaces.
SUMMARY
[0004] Methods and apparatus for cleaning substrate structures are provided herein. In some embodiments, a method of cleaning substrate structures may include providing a hydrogen containing gas to a process chamber having a plurality of filaments; flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a first temperature sufficient to decompose at least a portion of the hydrogen containing gas; and cleaning structures of a substrate by exposing the structures to hydrogen atoms formed by the decomposition of the hydrogen containing gas.
[0005] Other and further embodiments of the present invention are described below. BRIEF DESCRIPTION OF THE DRAWINGS
[0006] Embodiments of the present invention, briefly summarized above and discussed in greater detail below, can be understood by reference to the illustrative embodiments of the invention depicted in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
[0007] Figure 1 is a flow diagram of a method for cleaning structures in accordance with some embodiments of the present invention.
[0008] Figures 2A-B are illustrative cross-sectional views of a device having structures during different stages of the method of Figure 1 in accordance with some embodiments of the present invention.
[0009] Figure 3 is a processing system suitable for performing the methods depicted in Figure 1 in accordance with some embodiments of the present invention.
[0010] Figure 4 is a processing system suitable for performing the methods depicted in Figure 1 in accordance with some embodiments of the present invention.
[0011] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0012] Methods and apparatus for cleaning device structures are provided herein. Embodiments of the inventive process may advantageously allow for removal of contaminants or undesired layers from substrates and device and structures formed thereon, including those having high aspect ratios, while causing less damage to the substrate or devices formed thereon as compared to conventional cleaning processes {e.g., using one or more of a plasma, a high temperature treatment or a fluorine based chemistry). Moreover, by utilizing a process chamber that utilizes a hot wire source to produce atomic hydrogen {e.g., a hot wire processing chamber), the inventors have observed that a higher density population of atomic hydrogen {e.g., such as 1 .3 to about 3 times higher) may be provided as compared to methods conventionally used in the semiconductor industry to produce atomic hydrogen. Although not limiting of the scope of application of the inventive methods disclosed herein, the inventive methods have been shown to be particularly effective for the cleaning high aspect ratio structures or features of devices such as FinFETs, MOSFETs, or the like.
[0013] Figure 1 is a flow diagram of a method 100 for cleaning substrate structures in accordance with some embodiments of the present invention. Figures 2A-B are illustrative cross-sectional views of a device disposed on a substrate and having structures during different stages of the processing sequence of Figure 1 in accordance with some embodiments of the present invention. The inventive methods may be performed in any apparatus suitable for processing semiconductor substrates in accordance with embodiments of the present invention, such as the apparatus discussed below with respect to Figures 3 and 4.
[0014] The method 100 generally begins at 102 where a device 200 having structures 201 may be optionally preheated. Preheating the device prior to performing a cleaning process (e.g. the cleaning process as described below) may facilitate a de-gassing and/or removal of contaminants from the device. In some embodiments, the device may be preheated in the same chamber as used for the cleaning process. Alternatively, in some embodiments, a preheat chamber different than that used for the cleaning process may be utilized (such as preheat chamber 350 discussed below with respect to Figure 3). The inventors have observed that preheating the device 200 in a different chamber than that used to perform the cleaning process may reduce or eliminate the incidence of contamination of the substrate with residual process byproducts from the cleaning process chamber and/or may reduce or eliminate the incidence of contamination of the cleaning process chamber with materials from the substrate.
[0015] The preheat chamber may be any type of chamber suitable to preheat the device 200 to a desired temperature, for example such as a dedicated preheat chamber, an annealing chamber, a deposition chamber, or the like. In some embodiments the preheat chamber may be a hot wire processing chamber (e.g. a hot wire chemical vapor deposition (HWCVD) chamber or other suitable process chamber having a hot wire source) such as the process chamber described below with respect to Figures 3 and 4. In some embodiments, the preheat chamber may be one of a plurality of chambers coupled to a multi-chamber tool, for example such as a cluster tool or an in-line process tool.
[0016] The device 200 may be preheated to any temperature suitable to de-gas or remove contaminants from the device 200. For example, in some embodiments, the device 200 may be preheated to a temperature of up to about 500 degrees Celsius. The substrate may be preheated via any suitable heat source, for example, heating lamps or resistive heaters disposed within the chamber, heaters embedded within a substrate support, filaments of a hot wire source, or the like. In embodiments where the device 200 is preheated in a hot wire processing chamber, the hot wire source {e.g., the filaments) may be heated to a temperature of about 1000 to about 2500 degrees to facilitate preheating the device 200 to the desired temperature. Other temperatures may be used as appropriate for the substrate and the contaminants to be removed.
[0017] Referring to Figure 2A, the device 200 may be any type of semiconductor device, for example such as a two dimensional or three dimensional device, such as a multigate device, fin field effect transistor (FinFET), metal oxide semiconductor field effect transistor (MOSFET), nanowire field effect transistor (NWFET), tri-gate transistor, or the like. In some embodiments, the device 200 may be a memory device.
[0018] In some embodiments, the device 200 may comprise a substrate 202 having one or more structures 201 {e.g., source 208, drain 206, gate 212, fin 210, or the like) disposed thereon. The substrate 202 may be any substrate suitable for semiconductor device fabrication, for example, such as a doped or un-doped silicon substrate, a l l l-V compound substrate, a l l-VI compound substrate, a silicon germanium (SiGe) substrate, an epi-substrate, a silicon-on-insulator (SOI) substrate, oxides thereof, or the like. In some embodiments, the substrate 202 may comprise one or more layers disposed in or on the substrate. For example, in some embodiments, the substrate 202 may comprise a buried oxide layer 204 comprising, for example, silicon oxide (S1O2), aluminum oxide (AI2O3), or the like. Alternatively or in combination, in some embodiments, one or more features {e.g., a via, a trench, a dual damascene structure, or the like) may be formed in or on the substrate 202 and/or one or more of the one or more layers disposed in or on the substrate. In some embodiments, the structures 201 may be, or may include, high aspect ratio features, for example, a high aspect ratio via. As used herein, a high aspect ratio feature is a feature having an aspect ratio of length to width of at least 4:1 , or in some embodiments, at least 5:1 .
[0019] In some embodiments, a layer 214 to be removed may be disposed atop one or more of the structures 201 , features or surfaces of the device 200. Although described herein as a layer, the layer 214 to be removed may also be a partial layer, or may be islands of material disposed only upon portions of the device 200. The layer 214 may comprise any materials that are to be removed from the device 200, for example, native oxide layers, nitride layers, dielectric layers, silicon layers or the like, or prior process residues or contaminants, for example, such as carbon, silicon, nitrogen or oxygen containing contaminants, or the like.
[0020] If the device 200 is preheated in a separate chamber, the device 200 is moved to a cleaning chamber for cleaning. The cleaning chamber may be any type of chamber suitable to perform the process having a plurality of filaments. For example, in some embodiments, the cleaning chamber may be a hot wire processing chamber (e.g., a hot wire chemical vapor deposition (HWCVD) chamber or other suitable process chamber having a hot wire source), for example, such as the process chamber described below. The inventors have observed that by utilizing a process chamber having a hot wire source, a higher density population of atomic hydrogen (e.g., such as 1 .3 to about 3 times higher) may be produced, as compared to methods or systems conventionally used in the semiconductor industry to produce atomic hydrogen [e.g., such as RF and/or DC plasma or inductively coupled plasma systems).
[0021] Next, at 104, a hydrogen containing gas may be provided to a process chamber having a plurality of filaments {e.g., a first process chamber). In some embodiments, the process chamber having a plurality of filaments may be the cleaning chamber described above, or alternatively, a separate chamber. In embodiments where the process chamber is a separate chamber, after decomposing the hydrogen containing gas (described below) the resultant hydrogen atoms may be then provided to the cleaning chamber.
[0022] The hydrogen containing gas may comprise any gas or gases suitable to provide a high density of atomic hydrogen when decomposed. For example, in some embodiments, the hydrogen containing gas may comprise or may consist essentially of or may consist of hydrogen (H2) gas, a mixture of hydrogen (H2) gas and nitrogen (N2) gas, ammonia (NH3), hydrogen peroxide (H2O2), combinations thereof, or the like. In some embodiments, the hydrogen containing pre-treat gas may further comprise a dilutant gas, for example such as one or more of helium (He), Argon (Ar), or the like. In some embodiments the hydrogen containing pre- treat gas may consist essentially of or may consist of one or more of hydrogen (H2) gas, a mixture of hydrogen (H2) gas and nitrogen (N2) gas, ammonia (NH3), hydrogen peroxide (H2O2), or combinations thereof, mixed with a dilutant gas such as one or more of helium (He), Argon (Ar), or the like. The hydrogen containing gas may be provided at any flow rate suitable to provide a needed amount of atomic hydrogen to clean the surface of the device 200 and may be adjusted in accordance with the device 200 and/or process chamber size. For example, in some embodiments where the substrate is a 300 mm diameter semiconductor wafer, the hydrogen containing gas may be provided at a flow rate of up to about 10,000 seem, or in some embodiments, about 10 seem to about 3000 seem.
[0023] Next, at 106, a current is flowed through the plurality of filaments disposed in the process chamber to raise a temperature of the plurality of filaments to a first temperature sufficient to at least partially decompose the hydrogen containing gas. The current may be flowed through the plurality of filaments prior to, at the same time as, and/or subsequent to preheating the substrate (described above at 102) and/or providing the hydrogen containing gas to the process chamber (described above at 104). In some embodiments, the plurality of filaments may be heated to the first temperature at least prior to providing the hydrogen containing gas. In some embodiments, heating the plurality of filaments to the first temperature may reduce or elinninate contaminants from the plurality of filaments, thereby reducing or eliminating particle formation. In addition, pre-treating may eliminate impurities, thereby increasing the stability and/or reliability, and extending the useful life of the plurality of filaments. The plurality of filaments may be any suitable type of filaments disposed in any suitable type of process chamber, for example such as the plurality of filaments disposed in the process chamber described below with respect to Figures 3 and 4.
[0024] The first temperature may be a temperature suitable to achieve decomposition of the hydrogen containing gas to provide a desired density of atomic hydrogen and to facilitate cleaning the surface of the device 200, as described below. For example, in some embodiments, the first temperature may be about 10 to about 500 degrees Celsius. Other process-compatible temperatures may be used as appropriate for the substrate and the contaminants to be removed.
[0025] Next, at 108, one or more surfaces 216 of the structures 201 of the device 200 are cleaned by exposing the device 200 to the hydrogen atoms formed from the decomposition of the hydrogen containing gas for a period of time {e.g., some or all of the materials or contaminants disposed on the substrate are removed). The highly reactive properties of atomic hydrogen facilitate removal of the layer 214, thereby cleaning the one or more surfaces 216 of the device 200, as shown in Figure 2B. The inventors have also observed that by using atomic hydrogen to remove the layer 214, the layer may be completely removed without leaving any residues, or damaging or oxidizing the surfaces, as compared to a conventional cleaning process such as processes utilizing a plasma and/or a fluorine containing process gas. For example, the inventors have observed that the atomic hydrogen facilitates the removal of the layer 214 without changing the shape of the fin 210 {e.g., without rounding the edges of the fin 210).
[0026] The one or more surfaces 216 may be any surface that requires cleaning prior to and/or subsequent to a process {e.g., deposition, etch, anneal, implant, lithography, such as extreme ultraviolet lithography, or other processes), for example, such as a surface of the structures {e.g., source 208, drain 206, gate 212, fin 210, or the like), a contact, a liner {e.g., a gettering liner), a barrier layer {e.g., a hydrogen passivation barrier), a metal fill, a quartz surface, an extreme ultraviolet (EUV) photomask, or the like. In some embodiments, for example where the one or more surfaces 216 is a contact surface {e.g., an ohmic contact) the one or more surfaces 216 may comprise one or more of silicides, such as nickel silicides, oxides, such as ruthenium oxide (RUO2), silicon oxide (S1O2), metal oxides, or the like.
[0027] The period of time may be any amount of time needed to facilitate removal of the layer 214 to a satisfactory degree {e.g., completely removed, substantially removed, or the like) and may be varied in accordance to the composition of the layer 214, the device 200 size, or the like. For example, in some embodiments, the device 200 may be exposed to the atomic hydrogen for a period of time of about 60 to about 600 seconds. In any of the above embodiments, at least one of the first temperature or period of time may be dependent on the materials used to fabricate the filaments and/or the configuration of the plurality of filaments within the process chamber.
[0028] In some embodiments, the device 200 is disposed beneath, and directly exposed to, the plurality of filaments in the process chamber. Alternatively, in some embodiments, the device 200 may be separated from the plurality of filaments. For example, in some embodiments, a plate having a plurality of apertures {e.g., a gas distribution plate) may be disposed between the plurality of filaments and the device 200, for example, as described below with respect the plate 342 in Figures 3 and 4. When present, the plate may further allow for independent temperature control of the portion of the chamber having the plurality of filaments disposed therein and the portion of the chamber having the device 200 disposed therein, thereby allowing each of the plurality of filaments and the substrate to be maintained at different temperatures, as described below. In another example, in some embodiments the atomic hydrogen may be formed remotely in a process chamber having a plurality of heated filaments or wires {e.g., a hot wire processing chamber) and provided to a separate process chamber {e.g., a cleaning chamber) having the device 200 disposed therein.
[0029] The device 200 may be positioned under the hot wire source, or under the plate 342, on a substrate support {e.g., substrate support 328 described below with respect to Figure 3) in a static position or, in some embodiments, movably for dynamic cleaning as the device 200 passes under the plate 342.
[0030] In addition to the above, additional process parameters may be utilized to facilitate cleaning the structures 201 of the device 200. For example, the inventors have observed that the density of atomic hydrogen produced may be controlled by the pressure within the process chamber containing the device 200 (e.g. the process chamber or separate cleaning chamber). Accordingly, in some embodiments, the process chamber may be maintained at a pressure of less than about 10"9 mTorr {e.g., an ultra high vacuum) to about 10 Torr. In addition, the device 200 may be maintained at any temperature suitable to facilitate cleaning the structures 201 of the device 200, for example, such as up to about 1000 degrees Celsius.
[0031] The device 200 may be maintained at the aforementioned temperature via any suitable heating mechanism or heat source, for example, such as resistive heaters {e.g., a heater embedded within a substrate support) heating lamps, or the like. In addition, the temperature may be monitored via any mechanism suitable to provide an accurate measurement of the temperature. For example, in some embodiments, the temperature may be monitored directly via one or more thermocouples, pyrometers, combinations thereof, or the like. Alternatively, or in combination, in some embodiments, the temperature may be estimated via a known correlation between a power provided to the heating mechanism and the resultant temperature. The inventors have observed that maintaining the device 200 at such temperatures provides additional energy to the process, which may facilitate a more complete decomposition of the hydrogen containing gas to form hydrogen atoms, thereby increasing the throughput and uniformity of the cleaning process.
[0032] After cleaning the structures 201 of the device 200 at 108, the method 100 generally ends and the device 200 may proceed for further processing. In some embodiments, additional processes such as additional layer depositions, etching, annealing, or the like, may be performed on the device 200.
[0033] Figure 3 depicts a schematic side view of a processing system in accordance with embodiments of the present invention. In some embodiments, the system 300 includes a process chamber 301 {e.g., the first process chamber), a cleaning chamber 303 and, optionally, a preheat chamber 350. The process chamber 301 may be any type of process chamber having a plurality of filaments disposed therein, for example, such as a hot wire processing chamber {e.g., a hot wire chemical vapor deposition (HWCVD) chamber or other suitable chamber having a hot wire source). The process chamber 301 generally comprises a chamber body 302 having an internal volume 304 with an atomic hydrogen source 348 disposed therein. The atomic hydrogen source 348 is configured to provide atomic hydrogen to the surface of a substrate 330 (e.g., the device described above) during operation. The atomic hydrogen source 348 includes a plurality of filaments or wires 310 coupled to a power supply 313 for providing current to heat the plurality of filaments to a temperature sufficient to produce atomic hydrogen from a hydrogen gas, provided for example, from a hydrogen gas source 346.
[0034] The plurality of filaments (wires) 310 may be separate wires, or may be a single wire routed back and forth across the internal volume 304. The wires 310 may comprise any suitable conductive material, for example, such tungsten, tantalum, iridium, nickel-chrome, palladium, or the like. The wires may 310 comprise any thickness and/or density suitable to provide a desired density of atomic hydrogen within the process chamber 301 . For example, in some embodiments, each wire 310 may have a diameter of about .5 mm to about 10 mm. In addition, in some embodiments, the density of each wire may be varied dependent on the application [e.g., substrate composition, material to be removed, or the like). In some embodiments, each wire 310 is clamped in place by support structures to keep the wire taught when heated to high temperature, and to provide electrical contact to the wire. In some embodiments, a distance between each wire 310 (i.e., the wire to wire distance 336) may be varied to provide a desired density of atomic hydrogen within the process chamber 301 in accordance with a particular application. For example, in some embodiments, the wire to wire distance 336 may be about 5 mm to about 80 mm.
[0035] A power supply 313 is coupled to the wires 310 to provide current to heat the wires 310. The substrate 330 may be positioned under the hot wire source (e.g., the wires 310), for example, on a substrate support 328 disposed within the cleaning chamber 303. The substrate support 328 may be stationary for static cleaning, or may move (as shown by arrow 309) for dynamic cleaning as the substrate 330 passes under the hot wire source. In some embodiments, a distance between each wire 310 and the substrate 330 (i.e., the wire to substrate distance 340) may be varied to facilitate a particular process (e.g. the method 100 described above) being performed in the process chamber 301 . For example, in some embodiments, the wire to substrate distance 340 may be about 10 mm to about 300 mm.
[0036] The chamber body 302 further includes one or more gas inlets (one gas inlet 332 shown) coupled to a hydrogen gas source 346 to provide the cleaning gas and one or more outlets (two outlets 334 shown) to a vacuum pump to maintain a suitable operating pressure within the process chamber 301 and to remove excess process gases and/or process byproducts. The gas inlet 332 may feed into a shower head 333 (as shown), or other suitable gas distribution element, to distribute the gas uniformly, or as desired, over the wires 310.
[0037] In some embodiments, the substrate 330 may be separated from the hot wire source (e.g., the wires 310), via a gas distribution apparatus 341 , for example, such as a plate 342 having a plurality of through holes 344 configured to distribute the gas (e.g. the atomic hydrogen described above) in a desired manner to the substrate 330. For example, the number of through holes, patterns and dimensions of the plurality of through holes 344 may be varied in accordance with the particular application. For example, in some embodiments, the plurality of through holes 344 may be configured such that the plate 342 may have about 10% to about 50% open area. In some embodiments, each of the plurality of through holes may have a diameter of about 1 mm to about 30 mm. In some embodiments, when present, the plate 342 may prevent one or more of the wires 310 from contacting the substrate 330 in the event of a mechanical failure of the wires 310. In some embodiments, a distance 331 from the gas distribution apparatus 341 to the substrate 330 may be any distance suitable to provide a desired density of atomic hydrogen to the substrate 330. For example, in some embodiments, the gas distribution apparatus 341 to substratel may be about 10 to about 200 mm.
[0038] The cleaning chamber 303 generally comprises a chamber body 305 defining an inner volume 307. The substrate support 328 may be positioned within the inner volume 307. In some embodiments, the cleaning chamber 303 may comprise one or more heaters (not shown) to facilitate heating the substrate. When present, the one or more heaters disposed in the cleaning chamber 303 may facilitate pre-heating the substrate, for example, such as described above. In some embodiments, one or more shields 320 may be provided to minimize unwanted deposition of materials on interior surfaces of the chamber body 305. The shields 320 and chamber liners 322 generally protect the interior surfaces of the chamber body 305 from undesirably collecting deposited materials due to the cleaning process and/or process gases flowing in the chamber. The shields 320 and chamber liners 322 may be removable, replaceable, and/or cleanable. The shields 320 and chamber liners 322 may be configured to cover every area of the chamber body 305 that could become coated, including but not limited to, around the wires 310 and on all walls of the coating compartment. Typically, the shields 320 and chamber liners 322 may be fabricated from aluminum (Al) and may have a roughened surface to enhance adhesion of deposited materials (to prevent flaking off of deposited material). The shields 320 and chamber liners 322 may be mounted in the desired areas of the process chamber, such as around the hot wire source, in any suitable manner. In some embodiments, the source, shields, and liners may be removed for maintenance and cleaning by opening an upper portion of the process chamber 301 . For example, in some embodiments, the a lid, or ceiling, of the process chamber 301 may be coupled to the chamber body 302 along a flange 338 that supports the lid and provides a surface to secure the lid to the body of the process chamber 301 .
[0039] In some embodiments, a preheat chamber 350 may be provided to preheat the substrate. The preheat chamber may be any suitable chamber having a heat source 352 for providing heat to the substrate 330 disposed in the preheat chamber 350. The preheat chamber 350 may be coupled directly to the process chamber 301 , for example as part of an inline substrate processing tool, or may be coupled to the process chamber 301 via one or more intervening chambers, such as a transfer chamber of a cluster tool. An example of a suitable inline substrate processing tool is described in US Patent 8,1 17,987, by D. Haas, et al., issued February 21 , 2012. [0040] A controller 306 may be coupled to various components of the system 300, such as at the process chamber 301 , cleaning chamber 303, or the preheat chamber 350, to control the operation thereof. Although schematically shown coupled to the system 300, the controller may be operably connected to any component that may be controlled by the controller, such as the power supply 313, a gas supply (not shown) coupled to the gas inlet 332, a vacuum pump and or throttle valve (not shown) coupled to the outlet 334, the substrate support 328, and the like, in order to control the cleaning process in accordance with the methods disclosed herein. The controller 306 generally comprises a central processing unit (CPU) 308, a memory 312, and support circuits 31 1 for the CPU 308. The controller 306 may control the system 300 directly, or via other computers or controllers (not shown) associated with particular support system components. The controller 306 may be one of any form of general-purpose computer processor that can be used in an industrial setting for controlling various chambers and sub-processors. The memory, or computer-readable medium, 312 of the CPU 308 may be one or more of readily available memory such as random access memory (RAM), read only memory (ROM), floppy disk, hard disk, flash, or any other form of digital storage, local or remote. The support circuits 31 1 are coupled to the CPU 308 for supporting the processor in a conventional manner. These circuits include cache, power supplies, clock circuits, input/output circuitry and subsystems, and the like. Inventive methods as described herein may be stored in the memory 312 as software routine 314 that may be executed or invoked to turn the controller into a specific purpose controller to control the operation of the process chamber 301 in the manner described herein. The software routine may also be stored and/or executed by a second CPU (not shown) that is remotely located from the hardware being controlled by the CPU 308.
[0041] In some embodiments, the process chamber 301 and the cleaning chamber 303 may be coupled to one another or constructed integrally with one another to form a unitary process chamber {e.g., such as shown in Figure 3). Alternatively, in some embodiments, the process chamber 301 and the cleaning chamber 303 may be separate chambers, such as shown in Figure 4. In such embodiments, the process gas {e.g., the hydrogen containing gas) may be heated by the wires 310 remotely and the resultant atomic hydrogen may be provided to the cleaning chamber via, for example, a conduit 402. In some embodiments, the conduit 402 may provide the atomic hydrogen to a cavity or plenum 404 disposed above the gas distribution apparatus 341 and then distributed to the inner volume 307 of the cleaning chamber 303 via the plurality of through holes 344.
[0042] Thus, methods and apparatus for cleaning a device structures on a substrate have been provided herein. Embodiments of the inventive process may advantageously allow for removal of contaminants or undesired layers from a substrate while causing less damage to the substrate as compared to conventional cleaning processes utilizing, for example, one or more of a plasma, a high temperature treatment or a fluorine based chemistry. Moreover, by utilizing a hot wire processing chamber to produce atomic hydrogen, the inventors have observed that a higher density population of atomic hydrogen {e.g., such as 1 .3 to about 3 times higher) can advantageously be provided as compared to conventionally used methods to produce atomic hydrogen.
[0043] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof.

Claims

Claims:
1 . A method of cleaning structures of a substrate, comprising:
providing a hydrogen containing gas to a first process chamber having a plurality of filaments;
flowing a current through the plurality of filaments to raise a temperature of the plurality of filaments to a first temperature sufficient to decompose at least a portion of the hydrogen containing gas to form hydrogen atoms; and
cleaning structures of a substrate by exposing the structures to hydrogen atoms formed by the decomposition of the hydrogen containing gas.
2. The method of claim 1 , wherein the hydrogen containing gas comprises at least one of hydrogen (H2), hydrogen (H2) and nitrogen (N2), or ammonia (NH3).
3. The method of claim 1 , wherein the structures include a high aspect ratio feature.
4. The method of claim 3, wherein the high aspect ratio is at least 4:1 .
5. The method of claim 3, wherein the high aspect ratio is at least 5:1 .
6. The method of claim 1 , wherein the structures are formed on an extreme ultra-violet (EUV) photomask.
7. The method of claim 1 , wherein the structures comprise one or more of a silicide or an oxide.
8. The method of claim 1 , wherein the structures comprise one or more of nickel silicide, ruthenium oxide (RUO2), silicon oxide (S1O2), or a metal oxide.
9. The method of any of claims 1 to 8, wherein the structures of the substrate are cleaned in the first process chamber.
10. The method of claim 9, further comprising:
preheating the substrate in a preheat chamber different than the first process chamber prior to cleaning the structures of the substrate.
1 1 . The method of claim 9, further comprising:
preheating the substrate in the first process chamber prior to cleaning the structures of the substrate.
12. The method of any of claims 1 to 8, wherein the substrate is disposed in a cleaning chamber that is different than the first process chamber, and wherein the hydrogen atoms formed by the decomposition of the hydrogen containing gas in the first process chamber are provided to the cleaning chamber to clean the structures of the substrate.
13. The method of claim 12, further comprising:
preheating the substrate in a preheat chamber different than the cleaning chamber prior to cleaning the structures of the substrate.
14. The method of claim 12, further comprising:
preheating the substrate in the cleaning chamber prior to cleaning the structures of the substrate.
15. The method of any of claims 1 to 8, wherein the process chamber is a hot wire processing chamber.
PCT/US2013/075881 2012-12-21 2013-12-17 Methods and apparatus for cleaning substrate structures with atomic hydrogen WO2014100047A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261740570P 2012-12-21 2012-12-21
US61/740,570 2012-12-21

Publications (1)

Publication Number Publication Date
WO2014100047A1 true WO2014100047A1 (en) 2014-06-26

Family

ID=50979125

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/075881 WO2014100047A1 (en) 2012-12-21 2013-12-17 Methods and apparatus for cleaning substrate structures with atomic hydrogen

Country Status (2)

Country Link
TW (1) TW201430925A (en)
WO (1) WO2014100047A1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3207107A4 (en) * 2014-10-15 2018-05-23 Lytoil Inc. Modular refining reactor and refining methods
CN108697186A (en) * 2015-10-15 2018-10-23 马来亚大学 Gloves

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111001503B (en) * 2019-12-04 2021-07-09 拓荆科技股份有限公司 Heating device and temperature control spray assembly

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697139A (en) * 1992-09-14 1994-04-08 Hikari Gijutsu Kenkyu Kaihatsu Kk Cleaning method of semiconductor surface
US6107197A (en) * 1996-01-10 2000-08-22 Nec Corporation Method of removing a carbon-contaminated layer from a silicon substrate surface for subsequent selective silicon epitaxial growth thereon and apparatus for selective silicon epitaxial growth
US6143128A (en) * 1997-01-31 2000-11-07 Tokyo Electron Limited Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6410454B1 (en) * 1997-06-10 2002-06-25 Mitsubishi Denki Kabushiki Method and apparatus for removing contaminants from the surface of a semiconductor wafer
US20120312326A1 (en) * 2011-06-10 2012-12-13 Applied Materials, Inc. Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697139A (en) * 1992-09-14 1994-04-08 Hikari Gijutsu Kenkyu Kaihatsu Kk Cleaning method of semiconductor surface
US6107197A (en) * 1996-01-10 2000-08-22 Nec Corporation Method of removing a carbon-contaminated layer from a silicon substrate surface for subsequent selective silicon epitaxial growth thereon and apparatus for selective silicon epitaxial growth
US6143128A (en) * 1997-01-31 2000-11-07 Tokyo Electron Limited Apparatus for preparing and metallizing high aspect ratio silicon semiconductor device contacts to reduce the resistivity thereof
US6410454B1 (en) * 1997-06-10 2002-06-25 Mitsubishi Denki Kabushiki Method and apparatus for removing contaminants from the surface of a semiconductor wafer
US20120312326A1 (en) * 2011-06-10 2012-12-13 Applied Materials, Inc. Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3207107A4 (en) * 2014-10-15 2018-05-23 Lytoil Inc. Modular refining reactor and refining methods
CN108697186A (en) * 2015-10-15 2018-10-23 马来亚大学 Gloves

Also Published As

Publication number Publication date
TW201430925A (en) 2014-08-01

Similar Documents

Publication Publication Date Title
KR102437937B1 (en) Cvd based metal/semiconductor ohmic contact for high volume manufacturing applications
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US20150311061A1 (en) Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
TWI704635B (en) Methods and systems to enhance process uniformity
TWI695903B (en) Methods for selective deposition of metal silicides via atomic layer deposition (ald) cycles
KR101976559B1 (en) Methods for cleaning a surface of a substrate using a hot wire chemical vapor deposition (hwcvd) chamber
TW202117931A (en) Gap fill deposition process
JP4914902B2 (en) Method and apparatus for forming silicide
US20140011339A1 (en) Method for removing native oxide and residue from a germanium or iii-v group containing surface
WO2013003004A2 (en) METHOD OF IGZO AND ZNO TFT FABRICATION WITH PECVD SiO2 PASSIVATION
US9305796B2 (en) Methods for etching silicon using hydrogen radicals in a hot wire chemical vapor deposition chamber
US20140179110A1 (en) Methods and apparatus for processing germanium containing material, a iii-v compound containing material, or a ii-vi compound containing material disposed on a substrate using a hot wire source
TW202027198A (en) A cluster processing system for forming a transition metal material
TW201732892A (en) Conformal doping using dopant gas on hydrogen plasma treated surface
WO2014100047A1 (en) Methods and apparatus for cleaning substrate structures with atomic hydrogen
CN117223088A (en) Stress and overlay management for semiconductor processing
JP6920309B2 (en) Hydrogen plasma based cleaning process for etching hardware
US9373516B2 (en) Method and apparatus for forming gate stack on Si, SiGe or Ge channels
WO2014164493A1 (en) Methods for removing photoresist from substrates with atomic hydrogen
US20130189838A1 (en) Semiconductor manufacturing apparatus and method of manufacturing semiconductor device
TW202343548A (en) Contact formation process for cmos devices
JP2023540216A (en) Diffusion barrier for germanium

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13865349

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13865349

Country of ref document: EP

Kind code of ref document: A1