WO2014116376A1 - Low shrinkage dielectric films - Google Patents

Low shrinkage dielectric films Download PDF

Info

Publication number
WO2014116376A1
WO2014116376A1 PCT/US2013/076714 US2013076714W WO2014116376A1 WO 2014116376 A1 WO2014116376 A1 WO 2014116376A1 US 2013076714 W US2013076714 W US 2013076714W WO 2014116376 A1 WO2014116376 A1 WO 2014116376A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
plasma
processing region
containing precursor
substrate processing
Prior art date
Application number
PCT/US2013/076714
Other languages
French (fr)
Inventor
Sukwon Hong
Toan Tran
Abhijit MALLICK
Jingmei Liang
Nitin K. Ingle
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to JP2015555161A priority Critical patent/JP2016510507A/en
Priority to KR1020157020282A priority patent/KR20150109379A/en
Publication of WO2014116376A1 publication Critical patent/WO2014116376A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma

Definitions

  • the present technology relates to semiconductor processes and equipment. More specifically, the present technology relates to forming dielectric materials. BACKGROUND
  • One commonly encountered difficulty with filling high aspect ratio gaps is the formation of voids.
  • the dielectric material filling the gap to deposit at a faster rate around the top end of the gap.
  • the dielectric material will close the top before the gap has been completely filled, leaving a void.
  • the uneven growth rate of the dielectric film down the sidewalls of the gap can create a weak seam in the middle of the gapfill. These seams can later result in cracks that adversely affect the physical integrity and dielectric properties of the device.
  • One technique to avoid the formation of voids and weak seams in dielectric gapfills is to fill the gap at a lower deposition rate.
  • Lower deposition rates can give the dielectric material more time to redistribute on the inside surfaces of the gap to reduce the chances of excessive topside growth.
  • a lower deposition rate may also be the result of increased etching or sputtering that occur at the same time as the dielectric deposition. For example, in HDPCVD dielectric material at the top corners of the gap etch away faster than material on the sidewalls and bottom portion of the gap. This increases the chances that the topside of the gap will remain open so the sidewalls and bottom can completely fill with dielectric material.
  • reducing the dielectric deposition rate also results in the deposition taking longer to complete. The longer deposition times decrease the rate at which substrate wafers are processed through the deposition chamber, resulting in a reduced efficiency for chamber.
  • Methods of forming a dielectric layer on a substrate may include introducing a first precursor into a remote plasma region f uidly coupled with a substrate processing region of a substrate processing chamber
  • a plasma may be formed in the remote plasma region to produce plasma effluents.
  • the plasma effluents may be directed into the substrate processing region.
  • a silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond.
  • the plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
  • the silicon-containing precursors may include at least two silicon-silicon bonds, and may include three or more.
  • the first precursor may include one or more precursors selected from the group including ammonia, nitrogen, H 2 , Ar, and He, and may include additional carrier gases or combinations of precursors as well.
  • the plasma effluents and silicon-containing precursor may be introduced into the processing region through a showerhead configured to maintain separation of the precursors so that they do not contact each other until they enter the substrate processing region.
  • the substrate may be maintained at a distance less than or at about 3 inches from the showerhead, and may be maintained closer or further away in disclosed embodiments.
  • the substrate processing region may be plasma-free during the deposition process, and in alternative arrangements a direct plasma may be provided within the processing region.
  • the silicon-containing precursor may have the formula Si x H y , where x is greater than or equal to 2, and y is 2x+n or greater, where n is any number less than or equal to 2.
  • the silicon- containing precursor may also be introduced into the processing region in a substantially vapor phase.
  • the method for deposition may be performed at a temperature of less than or equal to about 30°C, and the pressure during the process may be less than or equal to about 5 Torr.
  • the as-formed film produced by the described processes may have a density greater than or equal to about 1.4 g/cc.
  • the methods may also include stopping the introduction of the silicon-containing precursor after the silicon-based dielectric layer is formed.
  • the plasma effluents may be continuously directed into the substrate processing region, however, in order to densify the formed dielectric layer.
  • the methods may further include annealing the formed silicon-based dielectric layer, and during the anneal, the formed silicon-based dielectric layer may shrink by less than about 20%.
  • the methods may include introducing a first precursor into a remote plasma region fluidly coupled with the substrate processing region while forming a plasma in the remote plasma region to produce plasma effluents.
  • the methods may also include directing the plasma effluents into the substrate processing region, and introducing a silicon-containing precursor into the substrate processing region.
  • the silicon-containing precursor may include at least one silicon-silicon bond in disclosed embodiments.
  • the methods may also include reacting the plasma effluents and silicon-containing precursor in the substrate processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
  • the methods may further include curing the formed silicon-based dielectric layer with at least one additional precursor.
  • the at least one additional precursor utilized may include an oxygen-containing precursor, a nitrogen-containing precursor, or a carbon-containing precursor.
  • the methods may also include directing the plasma effluents into the substrate processing region to densify the cured dielectric layer.
  • the silicon-containing precursor utilized may have the formula Si x H y , where x is greater than or equal to 2, and y is 2x+n or greater, where n is any number less than or equal to 2, and where the silicon-containing precursor is introduced into the processing region in a substantially vapor phase.
  • the precursors introduced into the processing chamber to form the silicon-based dielectric layer may include one or more inert precursors and a silicon-containing precursor having silicon-silicon and silicon-hydrogen containing bonds.
  • the methods may also include the step of annealing the formed silicon-based dielectric layer. When performed, the annealing may shrink the formed dielectric layer by less than about 20% during the annealing.
  • Such technology may provide numerous benefits over conventional techniques.
  • the deposition processes disclosed may produce denser and higher quality films.
  • film shrinkage may be reduced or prevented during annealing or post-formation operations.
  • FIG. 1 shows a flow chart of a process for forming a dielectric film according to disclosed embodiments.
  • FIG. 2 shows another flow chart of a process for forming a dielectric film according to disclosed embodiments.
  • FIG. 3A shows a schematic cross-sectional view of a portion of a substrate processing chamber according to the disclosed technology.
  • FIG. 3B shows a bottom plan view of a showerhead according to the disclosed technology.
  • FIG. 4 shows a top plan view of an exemplary substrate processing system according to the disclosed technology.
  • the present technology includes improved processes and chemistry profiles for depositing silicon-based materials on substrates. While conventional processes may deposit films of similar materials, the films may suffer from reduced quality and density. Accordingly, when lower quality films are densified to produce a higher quality film, film shrinkage may occur that may have an adverse effect on the underlying device. For example, as device geometries are reduced, the silicon or device base may have thinner wall profiles. When a film is deposited within the structures and densified, the shrinking film may exert stress on the underlying structures that can deform the patterning. The present technology, however, may form flowable films that fundamentally shrink less than conventional films. In so doing, more intricate patterns can be covered.
  • trenches within the device may have very high aspect ratios, such as having a heightwidth ratio greater than or about 5: 1, 8:1, 10: 1, etc. or more, and as such a flowable dielectric film may be required to provide a bottom-up fill profile. If these films also shrink less when densified, less stress is exerted on the underlying structure, and overall process quality may be improved.
  • Methods of forming a dielectric layer on a substrate may include introducing a first precursor into a remote plasma region f uidly coupled with a substrate processing region of a substrate processing chamber A plasma may be formed in the remote plasma region to produce plasma effluents. The plasma effluents may be directed into the substrate processing region. A silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond. The plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
  • Precursors used in deposition of silicon-based films may include a variety of additional molecules that affect the quality of the deposited film. For example, the presence of hydroxyl groups may increase the fiowability of a deposited film. However, it may be desirable to remove these groups in the final film to produce higher quality films. The removal of these groups, or the substitution with other materials including oxygen, nitrogen, and carbon, for example, may reduce the overall density of the deposited or formed film. Accordingly, when later processing steps used to density the film are performed, the film may shrink, which may produce unwanted stresses on the underlying structure. The inventors have advantageously determined that by reducing the inclusion of materials beyond silicon in the utilized precursors, the resulting film may have improved quality and may have reduced shrinking as compared to conventional films based on more varied precursor groups.
  • FIG. 1 shows a flow chart of a process for forming a dielectric film according to disclosed embodiments.
  • a substrate Prior to the first operation, a substrate may be delivered into a process chamber, such as those described below. The substrate may be previously patterned or relatively clean.
  • Various front end processing may have been performed including the formation of gates, vias, trenches, and other structures. These structures may be on the nanometer scale, and for example, trenches or gaps that require filling may have widths of less than about 100 nm, and may alternatively be less than or about 75 nm, 50 nm, 40 nm, 30 nm, 25 nm, 20 nm, 10 nm, etc. or less.
  • the patterned substrate may then be delivered to a substrate processing region for deposition of a dielectric material.
  • the substrate may already be located in the processing region if a previous operation was performed in the same chamber in which the deposition process is to occur.
  • a first precursor may be introduced into a plasma region of the processing chamber that is separate or removed from the processing region of the chamber in which the substrate resides.
  • the first precursor may include one of several precursor materials.
  • the first precursor may be one or more inert gases including argon, helium, nitrogen, etc. Additional gases may alternatively or additionally be used, and may include ammonia (NH 3 ), hydrogen, or nitrogen and/or hydrogen-containing gases.
  • the first precursor may exclusively include inert gases, and in an exemplary process argon or helium is delivered to the plasma region.
  • the separate plasma region may be referred to as a remote plasma region herein and may be within a distinct module separate from the processing chamber, or as a compartment within the processing chamber.
  • a plasma may be formed within the remote plasma region thereby generating plasma effluents from the first precursor.
  • the generated plasma effluents are directed into the substrate processing region. This may be effected in a number of ways, including with a pressure differential, generated electric field, or some other known mechanism for directing the flow of ions and/or electrons into the processing region.
  • a silicon-containing precursor may be introduced into the substrate processing region.
  • the silicon-containing precursor includes at least one silicon-silicon bond.
  • the plasma effluents and silicon-containing precursor may be reacted in the substrate processing region to form a silicon based dielectric layer on the substrate.
  • the formed material may be initially flowable when formed or when deposited initially, which may allow the material to flow down into trenches to fill defined patterns on the substrate.
  • the dielectric material may be based on reactions between the plasma species and the silicon-containing precursor.
  • the precursors may begin reacting directly upon contacting one another, and accordingly the precursors may be separated until entering the substrate processing region. Such separation may be performed by components such as a dual-channel showerhead as described further below.
  • the showerhead may be configured to maintain separation of the precursors so as to prevent the precursors from contacting one another until they enter or are delivered into the substrate processing region.
  • the number of silicon-silicon bonds in the deposited film may directly correspond to the amount of shrinking of the produced film. For example, the more silicon-silicon bonds in the film, the less shrinking may occur as a result of densification because less additional polymerization may be imparted along with less removal of unwanted species.
  • the formed film may be oxidized or reacted with additional material so as to incorporate oxygen into the polymer matrix, and remove residual materials such as hydrogen, nitrogen, carbon, etc.
  • additional material such as hydrogen, nitrogen, carbon, etc.
  • the more materials that are removed from the film may leave pores within the film that are removed during densification, such as annealing.
  • the removal of these pores may compress the film imparting stress along surfaces contacted by the film. These stresses may overcome a threshold that allows the structure to deform, which may destroy the underlying structure.
  • the present technology may utilize silicon-containing precursors that maximize the number of silicon-silicon bonds, and minimize additional molecular bonding. In this way, less material may need to be removed during curing or other operations, which may reduce the amount of shrinking of the final film.
  • the technology may utilize silanes and polysilanes as the silicon-containing precursor.
  • These materials may include or exclusively consist of silicon- silicon and silicon-hydrogen bonds.
  • Exemplary precursors may include at least one silicon- silicon bond, at least two silicon-silicon bonds, at least three silicon-silicon bonds, etc.
  • the precursors may be selected from any of the polysilane homologues beginning with disilane.
  • the silicon-containing precursor may also be selected from a variety of isomers of the polysilanes.
  • the composition could include any of n-pentasilane, isopentasilane, neopentasilane, 2-silyltetrasilane, 2,2-disilyltrisilane, etc.
  • the silicon-containing precursors may include cyclic forms or cyclosilanes, such as cyclohexasilane, for example.
  • the polysilanes may also include any saturated or unsaturated compound such as silenes and silynes.
  • the silicon-containing precursor may also be of a general formula such as Si x H y .
  • X may be any number of two or greater up to infinity
  • Y may be any number of two or greater up to infinity.
  • Y may also be any factor based on X.
  • Exemplary silanes used in the technology can include disilane, tetrasilane, cyclohexasilane, etc.
  • the vapor pressure of many of these disclosed materials is such that the materials may be in a substantially liquid phase under many operating conditions.
  • the silicon- containing precursors may be used in liquid form in the disclosed technology, in embodiments the silicon-containing precursors may be introduced into the processing chamber in a vapor or substantially vapor form. However, this may require the use of additional components to ensure that the materials are introduced in a vapor form.
  • Many different mechanisms may be employed to deliver vapor phase silicon-containing precursors. For example, vapor draw with or without a heated ampoule, a bubbler, or direct liquid injection may be utilized among a variety of other technology. However, depending on the precursor utilized, additional issues may occur. For example, if higher order silanes are delivered in liquid phase to an injection nozzle, the injection nozzle may be heated to deliver the material into the substrate processing region as a vapor.
  • a bubbler may utilize an inert gas in the delivery of the vapor, but also introduces the additional inert gas. In so doing, further mechanisms may be needed to ensure that an adequate flow of the actual silicon-containing precursor is delivered to provide adequate film formation rates.
  • Higher order silicon-containing precursors or silanes have more silicon-silicon bonds which may lead to less shrinking of the films, but may additionally have very low vapor pressures which may require additional components or mechanisms to provide a vapor phase silicon-containing precursor to the substrate processing region.
  • flowability of the dielectric material with the disclosed technology may be based on a combination of process parameters including the temperature and pressure of the process in relation to the silicon-containing precursor, plasma power used, and distance between the showerhead or mechanism delivering the precursors and the substrate on which the films are to be formed.
  • the substrate processing region may be relatively, substantially, or completely plasma- free during the deposition.
  • the plasma effluents of the first precursor may be delivered into the substrate processing region, the plasma used to generate the effluents may be contained externally to the substrate processing region.
  • the greatest amount of reactions may occur directly under the showerhead, or where the precursors initially interact.
  • the plasma effluents may have had the least amount of time to recombine, and thus greater reactions between the precursors may occur.
  • These gas phase reactions may be affected further by the material used. Silicon- silicon bonds may be weaker bonds than silicon-hydrogen, silicon-oxygen, and other silicon-based bonds. Accordingly, where these greater reactions occur, or where a higher plasma power is utilized, for the silicon- containing precursors of the present technology, a greater number of bonds may be broken.
  • the dielectric material formed in this region may have reduced or no flowability when deposited on the substrate.
  • the amount of interactions may be reduced slightly or more substantially. For example, potentially only one out of two or one out of three silicon-silicon bonds may be broken, and thus the polymerization may include longer chains of silicon groups, which may at least partially impart flowability to the deposited material.
  • the substrate may be maintained at a distance from the showerhead, or region where the interactions between the precursors begin, that is less than or about three inches.
  • the substrate may be maintained at least 0.1 inches from the showerhead in disclosed
  • the substrate may also be maintained at a distance less than or about 2 inches, 1 inch, 0.9, 0.8, 0.7, 0.6, 0.5, 0.4, 0.3, 0.2, 0.1, or less inches, or be maintained between about 3 inches and about 0.01 inches, 2 and 0.1 inches, 1 and 0.2 inches, 0.8 and 0.2 inches, etc.
  • the distance may also be determined in conjunction with the process parameters and silicon- containing precursor or precursors being used.
  • the substrate may be maintained at or below about 400°C, and may be maintained at or below about 300°C, 200°C, 100°C, 80°C, 75°C, 50°C, 25°C, 10°C, 0°C, -10°C, -20°C, -30°C, or less, between about 30°C and -30°C, etc.
  • the processing chamber may be maintained at or below about 100 Torr during the processes, and may be maintained at or below about 50 Torr, 25 Torr, 15 Torr, 5 Torr, 1 Torr, 0.1 Torr, etc., or between about 0.1 mTorr and about 10 Torr.
  • the temperature and pressure may also be set based at least partially on the vapor pressure of the silicon-containing precursor or precursors being used.
  • the vapor pressure of tetrasilane may be below about 3 Torr.
  • the chamber pressure is greater than about 3 Torr, then condensation of the tetrasilane may occur.
  • the interaction of the plasma effluents with the tetrasilane may also impart energy that overcomes the condensation point.
  • the amount of silicon-silicon bond breaking may be reduced, which may allow longer polymer chains to be formed.
  • the combination of improved polymerization, i.e. longer silicon- silicon chains, along with the benefit of operations at or near the condensation point of the precursors may at least partially impart flowability to the films.
  • the process may also create longer silicon polymer chains with reduced additional material, which may reduce the amount of shrinking of the final film.
  • the plasma source used in the technology may include plasma generated in the chamber, but remote from and fluidly coupled with the substrate processing region, or alternatively generated in a module separate from but fluidly coupled with the processing chamber.
  • the plasma may be from any known or later developed technology, and may produce plasma power between about 0 and 2000 Watts. In disclosed embodiments, the plasma power may be less than or about 1000 Watts, or about 500, 300, 250, 200, 150, 100, 80, 60, 40, 20 Watts, etc. or less.
  • a synergistic combination may be produced where an amount of condensation and an amount of polymerization occur to produce flowable films from silicon-containing precursors that may only include silicon and hydrogen bonding.
  • the resultant films may have flowability when initially formed on the substrate, but may be composed of mostly silicon-silicon and silicon-hydrogen bonds.
  • the inventors have additionally determined that these films may have increased density as formed over conventional films.
  • the as-deposited films may have a density greater than or equal to about 1.2 g/cc.
  • the films may also have densities greater than or equal to about 1.3 g/cc, 1.4, 1.5, 1.6, 1.7, 1.8, 1.9, 2.0, 2.1, etc. or greater.
  • additional processes may be performed.
  • One or more densifying operations may be performed to increase the quality of the dielectric material.
  • the introduction and flow of the silicon-containing precursor may be stopped.
  • the plasma effluents may be continued to be produced and directed into the substrate processing region at the formed dielectric layer to densify the formed dielectric material.
  • the formed silicon-based dielectric layer may be annealed to further densify the film.
  • the annealing may be a dry anneal, such as with nitrogen, or a steam anneal that may occur at temperatures between about 200°C and higher, above or about 400°C, above or about 900°C, etc. or greater.
  • the amount or film shrinking may be less than conventional films.
  • the film may shrink by less than 50% during the anneal.
  • the films produced by the disclosed technology may shrink by less than or about 40%, 30%, 25%, 20%, 15%, 10%, 5%, 0%, -5%, -10%, etc. or less.
  • the inventors have determined that negative shrinking may occur. This negative shrinking may imply that the film expands during the processing.
  • the above example process as described in conjunction with FIG. 1 may produce a substantially silicon based film, and may be used to produce polysilicon films of various structure and characteristics.
  • the processes may be further adjusted to incorporate additional materials to produce oxides, nitrides, carbides, and other compositions useful in semiconductor processing.
  • a further example of the disclosed technology incorporating additional materials is described with respect to FIG.
  • FIG. 2 shows another flow chart of a process for forming a dielectric film according to disclosed embodiments.
  • the process may include similar operations as described with respect to FIG. 1.
  • a first precursor may be introduced into a remote plasma region fluidly coupled with a substrate processing region while forming a plasma in the remote plasma region to produce plasma effluents.
  • the first precursor may include one or more of any of the previously described precursors, and may consist exclusively of one or more inert precursors such as argon, helium, or nitrogen.
  • the plasma effluents may be directed into the substrate processing region.
  • a silicon-containing precursor may be introduced into the substrate processing region at operation 230, and the silicon-containing precursor may have at least one silicon-silicon bond.
  • the silicon-containing precursor may include one or more of any of the previously discussed precursors.
  • the silicon-containing precursor may have the formula Si x H y , where x may be greater than or equal to 2, and y is 2x+n or greater, and where n may be any number less than or equal to 2.
  • the silicon-containing precursor may be introduced into the processing region in a substantially vapor phase.
  • the precursors introduced into the processing chamber to form the silicon-based dielectric layer may consist of one or more inert precursors and a silicon-containing precursor consisting or silicon-silicon and silicon-hydrogen containing bonds.
  • the first precursor may consist of argon or helium.
  • the plasma effluents and silicon-containing precursors may be reacted to form a silicon-based dielectric layer on the substrate. These operations may be performed in any of the ways previously described.
  • a curing operation may optionally be performed at operation 250 that cures the formed silicon-based dielectric layer with at least one additional precursor.
  • the additional precursor may include one or more of an oxygen-containing precursor, such as ozone, a nitrogen-containing precursor, or a carbon-containing precursor.
  • the precursors may be introduced or utilized with or without the plasma effluents directed into the substrate processing region.
  • the additional precursors may be used to additionally substitute material into the silicon-based dielectric material.
  • ozone or another oxygen-containing precursor may be used to provide oxygen that is incorporated into the silicon matrix to produce a silicon oxide film. This may help pack the formed matrix with additional material that may further help reduce shrinkage on annealing or densifying operations.
  • a variety of films may be formed in this way, including oxides, nitrides, carbides, oxycarbides, oxynitrides, carbonitrides, etc.
  • the additional precursors may be flowed after the formation of the silicon-based dielectric, near the end of the formation, and may be introduced with or without the silicon-containing precursors and/or the first precursor.
  • the temperature and/or pressure of the chamber may be changed during the curing operation. For example, if the temperature of the film forming operation is less than 30°C, for example, the chamber temperature may be raised to above or about 30°C, 50°C, 100°C, 200°C, etc., or higher.
  • the cured or otherwise formed dielectric may be optionally densified at operation 260.
  • the flow of the silicon-containing precursor and/or the additional precursor may be stopped, and then the flow of the first precursor may be performed, or continued in various embodiments.
  • Plasma effluents developed from the first precursor may be directed into the substrate processing region to densify the formed or cured dielectric layer.
  • the densifying operation may be performed prior to the curing operation such that operation 260 is performed prior to operation 250. Additional operations may be performed optionally in the process including an anneal at operation 270.
  • the formed, cured, and/or densified dielectric may be annealed as previously discussed to improve the final quality of the film.
  • Further post-deposition treatments may be performed that may include one or more of a variety of operations including UV, e-beam, and other curing or annealing type operations.
  • the silicon-based dielectric layer may shrink by less than or about 50%.
  • the films produced by the disclosed technology may shrink by less than or about 40%, 30%, 25%, 20%, 15%, 10%, 5%, etc. or less. All optional operations may be performed in the same or a different chamber than the film forming or deposition operation. By maintaining the substrate in a chamber without breaking vacuum, moisture and other effects on the formed film may be reduced or prevented.
  • the technology also encompasses delivery of the silicon-containing precursor into the remote plasma region.
  • the silicon-containing precursor may be activated by a direct plasma applied either in a remote region of the chamber, or alternatively in the processing region of the chamber, where the silicon-containing precursor is delivered to the area in which a plasma is developed.
  • a silicon-containing precursor may be delivered into a processing region or a plasma region of a chamber and activated with a plasma, such as a type of plasma previously defined.
  • the silicon-containing precursor may be delivered with additional fluids such as inert carriers that may include argon and may include hydrogen in disclosed embodiments as well.
  • the process may be performed at a variety of the temperatures, pressures, and plasma powers previously described.
  • the plasma power applied directly may be less than 500W, and may also be less than or about 300W, 200W, 100W, 80W, 70W, 60W, 50W, etc. or less, and the temperatures may be below or about 100°C, and may also be less than or about 75°C, 50°C, 25°C, 15°C, 10°C, 5°C, 0°C, -5°C, etc. or less.
  • the pedestal on which the substrate resides may be electrically biased. Biasing of the pedestal may provide an electrical field that may be used to direct radical species to the surface of the substrate.
  • the described processes synergistically utilize silicon-containing precursors having silicon-silicon bonding, temperature, pressure, plasma, and deposition distances to produce flowable films of improved qualities and reduced shrinking.
  • the technology advantageously may remove nitrogen, carbon, water, hydroxyl groups, and other additional materials that may reduce the density, and increase the shrinking of the formed films.
  • additional modifications to chamber parameters and plasma power may be used to further tune the deposition processes as may be required.
  • tuning these processes may be performed without the need to break vacuum conditions or move the substrate to an additional chamber. This may reduce overall processing times and save costs over conventional techniques. Additional examples of deposition process parameters, chemistries, and components are disclosed in the course of describing an exemplary processing chamber and system below.
  • Deposition chambers that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers.
  • HDP-CVD high-density plasma chemical vapor deposition
  • PECVD plasma enhanced chemical vapor deposition
  • SACVD sub-atmospheric chemical vapor deposition
  • thermal chemical vapor deposition chambers among other types of chambers.
  • Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and PRODUCER® PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, Calif.
  • Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL," the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.
  • FIG. 3A shows a schematic cross-sectional view of a portion of a substrate processing chamber 301 according to the disclosed technology.
  • a remote plasma system (RPS) 310 may process a gas which then travels through a gas inlet assembly 311. Two distinct gas supply channels may be present within the gas inlet assembly 311.
  • a first channel 312 may carry a gas that passes through the remote plasma system (RPS) 310, while a second channel 313 may bypass the RPS 310.
  • the first channel 312 may be used for the process gas and the second channel 313 may be used for a treatment gas in disclosed embodiments.
  • the lid or conductive top portion 321 and a perforated partition, such as showerhead 353, are shown with an insulating ring 324 disposed between, which may allow an AC potential to be applied to the lid 321 relative to showerhead 353.
  • the process gas may travel through first channel 312 into chamber plasma region 320 and may be excited by a plasma in chamber plasma region 320 alone or in
  • the combination of chamber plasma region 320 and/or RPS 310 may be referred to as a remote plasma system herein.
  • the perforated partition or showerhead 353 may separate chamber plasma region 320 from a substrate processing region 370 beneath showerhead 353.
  • showerhead 353 may allow a plasma present in chamber plasma region 320 to avoid directly exciting gases in substrate processing region 370, while still allowing excited species to travel from chamber plasma region 320 into substrate processing region 370.
  • showerhead 353 may be positioned between chamber plasma region 320 and substrate processing region 370 and allow plasma effluents or excited derivatives of precursors or other gases created within chamber plasma region 320 to pass through a plurality of through-holes 356 that traverse the thickness of the plate or plates included in the showerhead.
  • the showerhead 353 may also have one or more hollow volumes 351 that can be filled with a precursor in the form of a vapor or gas, such as a silicon-containing precursor, and pass through small holes 355 into substrate processing region 370, but not directly into chamber plasma region 320.
  • showerhead 353 may be thicker than the length of the smallest diameter 350 of the through-holes 356 in disclosed embodiments.
  • the length 326 of the smallest diameter 350 of the through-holes may be restricted by forming larger diameter portions of through-holes 356 part way through the showerhead 353.
  • the length of the smallest diameter 350 of the through-holes 356 may be the same order of magnitude as the smallest diameter of the through-holes 356 or less in disclosed embodiments.
  • showerhead 353 may distribute, via through-holes 356, process gases which contain a plasma vapor/gas such as argon, for example. Additionally, the showerhead 353 may distribute, via smaller holes 355, a silicon-containing precursor that is maintained separately from the plasma region 320. The process gas or gases and the silicon- containing precursor may be maintained fluidly separate via the showerhead 353 until the precursors separately enter the processing region 370. The precursors may contact one another once they enter the processing region and react to form a flowable dielectric material on a substrate 380.
  • process gases which contain a plasma vapor/gas such as argon, for example.
  • the showerhead 353 may distribute, via smaller holes 355, a silicon-containing precursor that is maintained separately from the plasma region 320.
  • the process gas or gases and the silicon- containing precursor may be maintained fluidly separate via the showerhead 353 until the precursors separately enter the processing region 370.
  • the precursors may contact one another once they enter the processing region and react to form a flowable dielectric material on a
  • the number of through-holes 356 may be between about 60 and about 2000.
  • Through-holes 356 may have a variety of shapes but may be made round.
  • the smallest diameter 350 of through-holes 356 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6mm in disclosed embodiments.
  • the number of small holes 355 used to introduce a gas into substrate processing region 370 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments.
  • the diameter of the small holes 355 may be between about 0.1 mm and about 2 mm.
  • FIG. 3B shows a bottom plan view of a showerhead 353 according to the disclosed technology.
  • showerhead 353 corresponds with the showerhead shown in FIG. 3 A.
  • Through- holes 356 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 353 and a smaller ID at the top.
  • Small holes 355 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 356 which may help to provide more even mixing than other embodiments described herein.
  • An exemplary film may be created on a substrate supported by a pedestal, such as pedestal 375 having a substrate 380 disposed thereon, within substrate processing region 370 when plasma effluents arriving through through-holes 356 in showerhead 353 combine with a silicon-containing precursor arriving through the small holes 355 originating from hollow volumes 351.
  • substrate processing region 370 may be equipped to support a plasma for other processes such as curing, no plasma may be present during the growth or deposition of the exemplary films.
  • a plasma may be ignited either in chamber plasma region 320 above showerhead 353 or substrate processing region 370 below showerhead 353. Alternatively, no plasma may be formed in any portion of the chamber, and may be only formed in RPS unit 310.
  • a plasma may be present in chamber plasma region 320 to produce the radical plasma effluents, such as from an inflow of one or more of argon, helium, hydrogen, or ammonia.
  • An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion, such as lid 321, of the processing chamber and showerhead 353 to ignite a plasma in chamber plasma region 320 during deposition.
  • RF radio frequency
  • An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency.
  • the top plasma may be left at low or no power when the bottom plasma in the substrate processing region 370 may be turned on during the formation of the dielectric layer or while cleaning the interior surfaces bordering substrate processing region 370.
  • a plasma in substrate processing region 370 may be ignited by applying an AC voltage between showerhead 353 and the pedestal 375 or bottom of the chamber.
  • a cleaning gas may be introduced into substrate processing region 370 while the plasma is present.
  • the pedestal 375 may be moveable, and may be configured to be raised or lowered in disclosed embodiments, and may similarly be configured to rotate.
  • the pedestal 375 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures, such as from about 0°C or lower up to about 200°C or higher.
  • the heat exchange fluid may comprise ethylene glycol, water, or some other fluid capable of introducing or removing heat from the system.
  • the wafer support platter of the pedestal may also be resistively heated in order to achieve relatively high temperatures from about 200°C or lower up to about 1100°C or higher using an embedded resistive heating element.
  • An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius.
  • the resistive heating element may additionally be coiled through the platter to provide more uniform temperatures.
  • the wiring to the heater element may pass through the stem of the pedestal.
  • the chamber plasma region or a region in an RPS may be referred to as a remote plasma region.
  • the radical precursor e.g. an argon precursor
  • the radical precursor may be created in the remote plasma region and travel into the substrate processing region to combine with the silicon- containing precursor.
  • the silicon-containing precursor is excited only by the radical-argon precursor.
  • Plasma power may essentially be applied only to the remote plasma region, in embodiments, to ensure that the radical-argon precursor provides the dominant excitation to the silicon-containing precursor.
  • the excited plasma effluents may be generated in a section of the substrate processing region partitioned from a deposition region.
  • the deposition region also known herein as the substrate processing region, may be where the plasma effluents mix and react with the silicon-containing precursor to deposit dielectric material on the substrate, e.g., a semiconductor wafer.
  • the excited plasma effluents may also be accompanied by additional gases including other inert gases or ammonia, for example.
  • the silicon-containing precursor may not pass through a plasma before entering the substrate plasma region, in embodiments.
  • the substrate processing region may be described herein as "plasma- free" during the deposition of the dielectric material.
  • “Plasma-free” does not necessarily mean the region is devoid of plasma. Ionized species and free electrons created within the plasma region may travel through pores or apertures in the partition or showerhead, but the silicon- containing precursor may not be substantially excited by the plasma power applied to the plasma region. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, a small amount of ionization may be effected within the substrate processing region directly. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating desirable features of the forming film. All causes for a plasma having much lower intensity ion density than the chamber plasma region, or a remote plasma region, during the creation of the excited plasma effluents do not deviate from the scope of "plasma-free" as used herein.
  • Plasma power can be a variety of frequencies or a combination of multiple frequencies.
  • the plasma may be provided by RF power delivered to lid 321 relative to showerhead 353.
  • the RF power may be between about 10 watts and about
  • 2000 watts between about 100 watts and about 2000 watts, between about 200 watts and about 1500 watts, less than or about 100 Watts, or less than or about 500 watts in different
  • the RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in different embodiments.
  • the plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
  • Substrate processing region 370 can be maintained at a variety of pressures during the flow of precursors, any carrier gases, and plasma effluents into substrate processing region 370.
  • the pressure may be maintained between about 0.1 mTorr and about 100 Torr, between about 1 Torr and about 20 Torr, less than about 5 Torr, or less than about 3 Torr in different
  • Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips.
  • FIG. 3 shows one such system 400 of deposition, etching, baking, and curing chambers according to disclosed embodiments.
  • a pair of front opening unified pods (FOUPs) 402 supply substrates of a variety of sizes that are received by robotic arms 404 and placed into a low pressure holding area 406 before being placed into one of the substrate processing chambers 408a-f.
  • a second robotic arm 410 may be used to transport the substrate wafers from the holding area 406 to the substrate processing chambers 408a-f and back.
  • FOUPs front opening unified pods
  • Each substrate processing chamber 408a-f can be outfitted to perform a number of substrate processing operations including the deposition processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation, and other substrate processes.
  • CLD cyclical layer deposition
  • ALD atomic layer deposition
  • CVD chemical vapor deposition
  • PVD physical vapor deposition
  • etch pre-clean, degas, orientation, and other substrate processes.
  • the substrate processing chambers 408a-f may include one or more system components for depositing, annealing, curing and/or etching a dielectric film on the substrate wafer.
  • two pairs of the processing chambers e.g., 408c-d and 408e-f
  • the third pair of processing chambers e.g., 408a- b
  • all three pairs of chambers e.g., 408a-f
  • Any one or more of the processes described may be carried out in chamber(s) separated from the fabrication system shown in different embodiments.

Abstract

Methods of forming a dielectric layer on a substrate are described, and may include introducing a first precursor into a remote plasma region fluidly coupled with a substrate processing region of a substrate processing chamber A plasma may be formed in the remote plasma region to produce plasma effluents. The plasma effluents may be directed into the substrate processing region. A silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond. The plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.

Description

LOW SHRINKAGE DIELECTRIC FILMS
CROSS-REFERENCES TO RELATED APPLICATIONS
[0001] This application claims priority to U.S. Nonpro visional Patent Application No.
13/834,333, filed March 15, 2013, which claims the benefit of U.S. Provisional Application No. 61/756,762, filed January 25, 2013, entitled "Low Shrinkage Dielectric Films." The entire disclosure of each is incorporated herein by reference for all purposes.
TECHNICAL FIELD
[0002] The present technology relates to semiconductor processes and equipment. More specifically, the present technology relates to forming dielectric materials. BACKGROUND
[0003] As integrated circuit chipmakers continue increasing the density of circuit elements on each chip, filling the gaps that separate those elements becomes more challenging. The increased circuit element density has necessitated shorter widths between adjacent elements. As the width of these gaps shrink faster than their height, the ratio of height to width (known as the aspect ratio) proportionally increases. It is more difficult to fill a tall and narrow gap (i.e., a high aspect ratio gap) with a uniform film of dielectric material than a shallow and wide gap (i.e., a low aspect ratio gap).
[0004] One commonly encountered difficulty with filling high aspect ratio gaps is the formation of voids. In high aspect ratio gaps, there is a tendency of the dielectric material filling the gap to deposit at a faster rate around the top end of the gap. Often the dielectric material will close the top before the gap has been completely filled, leaving a void. Even when the top of the gap does not close prematurely, the uneven growth rate of the dielectric film down the sidewalls of the gap can create a weak seam in the middle of the gapfill. These seams can later result in cracks that adversely affect the physical integrity and dielectric properties of the device. [0005] One technique to avoid the formation of voids and weak seams in dielectric gapfills is to fill the gap at a lower deposition rate. Lower deposition rates can give the dielectric material more time to redistribute on the inside surfaces of the gap to reduce the chances of excessive topside growth. A lower deposition rate may also be the result of increased etching or sputtering that occur at the same time as the dielectric deposition. For example, in HDPCVD dielectric material at the top corners of the gap etch away faster than material on the sidewalls and bottom portion of the gap. This increases the chances that the topside of the gap will remain open so the sidewalls and bottom can completely fill with dielectric material. However, reducing the dielectric deposition rate also results in the deposition taking longer to complete. The longer deposition times decrease the rate at which substrate wafers are processed through the deposition chamber, resulting in a reduced efficiency for chamber.
[0006] Thus, there is a need for improved systems and methods for filling short-width, high aspect ratio gaps with a void free dielectric film. These and other problems are addressed by the systems and methods of the present invention.
SUMMARY
[0007] Methods of forming a dielectric layer on a substrate are described, and may include introducing a first precursor into a remote plasma region f uidly coupled with a substrate processing region of a substrate processing chamber A plasma may be formed in the remote plasma region to produce plasma effluents. The plasma effluents may be directed into the substrate processing region. A silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond. The plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
[0008] The silicon-containing precursors may include at least two silicon-silicon bonds, and may include three or more. The first precursor may include one or more precursors selected from the group including ammonia, nitrogen, H2, Ar, and He, and may include additional carrier gases or combinations of precursors as well. The plasma effluents and silicon-containing precursor may be introduced into the processing region through a showerhead configured to maintain separation of the precursors so that they do not contact each other until they enter the substrate processing region. The substrate may be maintained at a distance less than or at about 3 inches from the showerhead, and may be maintained closer or further away in disclosed embodiments. The substrate processing region may be plasma-free during the deposition process, and in alternative arrangements a direct plasma may be provided within the processing region.
[0009] The silicon-containing precursor may have the formula SixHy, where x is greater than or equal to 2, and y is 2x+n or greater, where n is any number less than or equal to 2. The silicon- containing precursor may also be introduced into the processing region in a substantially vapor phase. The method for deposition may be performed at a temperature of less than or equal to about 30°C, and the pressure during the process may be less than or equal to about 5 Torr. The as-formed film produced by the described processes may have a density greater than or equal to about 1.4 g/cc. [0010] The methods may also include stopping the introduction of the silicon-containing precursor after the silicon-based dielectric layer is formed. The plasma effluents may be continuously directed into the substrate processing region, however, in order to densify the formed dielectric layer. The methods may further include annealing the formed silicon-based dielectric layer, and during the anneal, the formed silicon-based dielectric layer may shrink by less than about 20%.
[0011] Methods are also described for forming a dielectric layer on a substrate in a substrate processing region of a processing chamber. The methods may include introducing a first precursor into a remote plasma region fluidly coupled with the substrate processing region while forming a plasma in the remote plasma region to produce plasma effluents. The methods may also include directing the plasma effluents into the substrate processing region, and introducing a silicon-containing precursor into the substrate processing region. The silicon-containing precursor may include at least one silicon-silicon bond in disclosed embodiments. The methods may also include reacting the plasma effluents and silicon-containing precursor in the substrate processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate. The methods may further include curing the formed silicon-based dielectric layer with at least one additional precursor.
[0012] The at least one additional precursor utilized may include an oxygen-containing precursor, a nitrogen-containing precursor, or a carbon-containing precursor. The methods may also include directing the plasma effluents into the substrate processing region to densify the cured dielectric layer. The silicon-containing precursor utilized may have the formula SixHy, where x is greater than or equal to 2, and y is 2x+n or greater, where n is any number less than or equal to 2, and where the silicon-containing precursor is introduced into the processing region in a substantially vapor phase. The precursors introduced into the processing chamber to form the silicon-based dielectric layer may include one or more inert precursors and a silicon-containing precursor having silicon-silicon and silicon-hydrogen containing bonds. The methods may also include the step of annealing the formed silicon-based dielectric layer. When performed, the annealing may shrink the formed dielectric layer by less than about 20% during the annealing.
[0013] Such technology may provide numerous benefits over conventional techniques. For example, the deposition processes disclosed may produce denser and higher quality films. As such, film shrinkage may be reduced or prevented during annealing or post-formation operations. These and other embodiments, along with many of their advantages and features, are described in more detail in conjunction with the below description and attached figures. BRIEF DESCRIPTION OF THE DRAWINGS
[0014] A further understanding of the nature and advantages of the disclosed technology may be realized by reference to the remaining portions of the specification and the drawings.
[0015] FIG. 1 shows a flow chart of a process for forming a dielectric film according to disclosed embodiments.
[0016] FIG. 2 shows another flow chart of a process for forming a dielectric film according to disclosed embodiments.
[0017] FIG. 3A shows a schematic cross-sectional view of a portion of a substrate processing chamber according to the disclosed technology. [0018] FIG. 3B shows a bottom plan view of a showerhead according to the disclosed technology.
[0019] FIG. 4 shows a top plan view of an exemplary substrate processing system according to the disclosed technology.
[0020] In the appended figures, similar components and/or features may have the same numerical reference label. Further, various components of the same type may be distinguished by following the reference label by a letter that distinguishes among the similar components and/or features. If only the first numerical reference label is used in the specification, the description is applicable to any one of the similar components and/or features having the same first numerical reference label irrespective of the letter suffix. DETAILED DESCRIPTION
[0021] The present technology includes improved processes and chemistry profiles for depositing silicon-based materials on substrates. While conventional processes may deposit films of similar materials, the films may suffer from reduced quality and density. Accordingly, when lower quality films are densified to produce a higher quality film, film shrinkage may occur that may have an adverse effect on the underlying device. For example, as device geometries are reduced, the silicon or device base may have thinner wall profiles. When a film is deposited within the structures and densified, the shrinking film may exert stress on the underlying structures that can deform the patterning. The present technology, however, may form flowable films that fundamentally shrink less than conventional films. In so doing, more intricate patterns can be covered. For example, trenches within the device may have very high aspect ratios, such as having a heightwidth ratio greater than or about 5: 1, 8:1, 10: 1, etc. or more, and as such a flowable dielectric film may be required to provide a bottom-up fill profile. If these films also shrink less when densified, less stress is exerted on the underlying structure, and overall process quality may be improved.
[0022] Methods of forming a dielectric layer on a substrate are described, and may include introducing a first precursor into a remote plasma region f uidly coupled with a substrate processing region of a substrate processing chamber A plasma may be formed in the remote plasma region to produce plasma effluents. The plasma effluents may be directed into the substrate processing region. A silicon-containing precursor may be introduced into the substrate processing region, and the silicon-containing precursor may include at least one silicon-silicon bond. The plasma effluents and silicon-containing precursor may be reacted in the processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
[0023] Precursors used in deposition of silicon-based films may include a variety of additional molecules that affect the quality of the deposited film. For example, the presence of hydroxyl groups may increase the fiowability of a deposited film. However, it may be desirable to remove these groups in the final film to produce higher quality films. The removal of these groups, or the substitution with other materials including oxygen, nitrogen, and carbon, for example, may reduce the overall density of the deposited or formed film. Accordingly, when later processing steps used to density the film are performed, the film may shrink, which may produce unwanted stresses on the underlying structure. The inventors have advantageously determined that by reducing the inclusion of materials beyond silicon in the utilized precursors, the resulting film may have improved quality and may have reduced shrinking as compared to conventional films based on more varied precursor groups.
[0024] In order to better understand and appreciate the invention, reference is now made to FIG. 1, which shows a flow chart of a process for forming a dielectric film according to disclosed embodiments. Prior to the first operation, a substrate may be delivered into a process chamber, such as those described below. The substrate may be previously patterned or relatively clean. Various front end processing may have been performed including the formation of gates, vias, trenches, and other structures. These structures may be on the nanometer scale, and for example, trenches or gaps that require filling may have widths of less than about 100 nm, and may alternatively be less than or about 75 nm, 50 nm, 40 nm, 30 nm, 25 nm, 20 nm, 10 nm, etc. or less. The patterned substrate may then be delivered to a substrate processing region for deposition of a dielectric material. In disclosed embodiments, the substrate may already be located in the processing region if a previous operation was performed in the same chamber in which the deposition process is to occur. At operation 110, a first precursor may be introduced into a plasma region of the processing chamber that is separate or removed from the processing region of the chamber in which the substrate resides. The first precursor may include one of several precursor materials. For example, the first precursor may be one or more inert gases including argon, helium, nitrogen, etc. Additional gases may alternatively or additionally be used, and may include ammonia (NH3), hydrogen, or nitrogen and/or hydrogen-containing gases. In disclosed embodiments, the first precursor may exclusively include inert gases, and in an exemplary process argon or helium is delivered to the plasma region.
[0025] The separate plasma region may be referred to as a remote plasma region herein and may be within a distinct module separate from the processing chamber, or as a compartment within the processing chamber. A plasma may be formed within the remote plasma region thereby generating plasma effluents from the first precursor. At operation 120, the generated plasma effluents are directed into the substrate processing region. This may be effected in a number of ways, including with a pressure differential, generated electric field, or some other known mechanism for directing the flow of ions and/or electrons into the processing region. Before, after, or concurrently with operation 120, a silicon-containing precursor may be introduced into the substrate processing region. In disclosed embodiments, the silicon-containing precursor includes at least one silicon-silicon bond.
[0026] The plasma effluents and silicon-containing precursor may be reacted in the substrate processing region to form a silicon based dielectric layer on the substrate. The formed material may be initially flowable when formed or when deposited initially, which may allow the material to flow down into trenches to fill defined patterns on the substrate. The dielectric material may be based on reactions between the plasma species and the silicon-containing precursor. The precursors may begin reacting directly upon contacting one another, and accordingly the precursors may be separated until entering the substrate processing region. Such separation may be performed by components such as a dual-channel showerhead as described further below. The showerhead may be configured to maintain separation of the precursors so as to prevent the precursors from contacting one another until they enter or are delivered into the substrate processing region. Previous technologies may have relied on the inclusion of water or hydroxyl groups in the as deposited film to potentially impart the flowability of the film. As previously stated, though, these groups may produce weaker films that may shrink unacceptably upon densification. The present technology, however, utilizes a variety of parameters that may synergistically allow flowability to occur. [0027] Without being bound to a particular theory, the number of silicon-silicon bonds in the deposited film may directly correspond to the amount of shrinking of the produced film. For example, the more silicon-silicon bonds in the film, the less shrinking may occur as a result of densification because less additional polymerization may be imparted along with less removal of unwanted species. Such as when a silicon oxide film is being formed, after the initial deposition, the formed film may be oxidized or reacted with additional material so as to incorporate oxygen into the polymer matrix, and remove residual materials such as hydrogen, nitrogen, carbon, etc. The more materials that are removed from the film may leave pores within the film that are removed during densification, such as annealing. The removal of these pores may compress the film imparting stress along surfaces contacted by the film. These stresses may overcome a threshold that allows the structure to deform, which may destroy the underlying structure.
Accordingly, the present technology may utilize silicon-containing precursors that maximize the number of silicon-silicon bonds, and minimize additional molecular bonding. In this way, less material may need to be removed during curing or other operations, which may reduce the amount of shrinking of the final film.
[0028] In disclosed embodiments, the technology may utilize silanes and polysilanes as the silicon-containing precursor. These materials may include or exclusively consist of silicon- silicon and silicon-hydrogen bonds. Exemplary precursors may include at least one silicon- silicon bond, at least two silicon-silicon bonds, at least three silicon-silicon bonds, etc. For example, the precursors may be selected from any of the polysilane homologues beginning with disilane. The silicon-containing precursor may also be selected from a variety of isomers of the polysilanes. For example, if the silicon-containing precursor has five silicon atoms, the composition could include any of n-pentasilane, isopentasilane, neopentasilane, 2-silyltetrasilane, 2,2-disilyltrisilane, etc. The silicon-containing precursors may include cyclic forms or cyclosilanes, such as cyclohexasilane, for example. The polysilanes may also include any saturated or unsaturated compound such as silenes and silynes. The silicon-containing precursor may also be of a general formula such as SixHy. X may be any number of two or greater up to infinity, and Y may be any number of two or greater up to infinity. For example, such a minimal formula would denote disilyne (S12H2). Y may also be any factor based on X. For example, Y may be 2X, or 2X + N, where n=2, 0, -2, -4, -6, etc., or N may be any number less than or equal to 2. Exemplary silanes used in the technology can include disilane, tetrasilane, cyclohexasilane, etc.
[0029] The vapor pressure of many of these disclosed materials is such that the materials may be in a substantially liquid phase under many operating conditions. Although the silicon- containing precursors may be used in liquid form in the disclosed technology, in embodiments the silicon-containing precursors may be introduced into the processing chamber in a vapor or substantially vapor form. However, this may require the use of additional components to ensure that the materials are introduced in a vapor form. Many different mechanisms may be employed to deliver vapor phase silicon-containing precursors. For example, vapor draw with or without a heated ampoule, a bubbler, or direct liquid injection may be utilized among a variety of other technology. However, depending on the precursor utilized, additional issues may occur. For example, if higher order silanes are delivered in liquid phase to an injection nozzle, the injection nozzle may be heated to deliver the material into the substrate processing region as a vapor.
However, the vaporization temperature of higher order silanes and the polymerization
temperature of higher order silanes may be relatively close, such that the technique may clog the nozzle. Additionally, a bubbler may utilize an inert gas in the delivery of the vapor, but also introduces the additional inert gas. In so doing, further mechanisms may be needed to ensure that an adequate flow of the actual silicon-containing precursor is delivered to provide adequate film formation rates. Higher order silicon-containing precursors or silanes have more silicon-silicon bonds which may lead to less shrinking of the films, but may additionally have very low vapor pressures which may require additional components or mechanisms to provide a vapor phase silicon-containing precursor to the substrate processing region.
[0030] Again without being bound to any particular theory, flowability of the dielectric material with the disclosed technology may be based on a combination of process parameters including the temperature and pressure of the process in relation to the silicon-containing precursor, plasma power used, and distance between the showerhead or mechanism delivering the precursors and the substrate on which the films are to be formed. During the processing, the substrate processing region may be relatively, substantially, or completely plasma- free during the deposition.
Although the plasma effluents of the first precursor may be delivered into the substrate processing region, the plasma used to generate the effluents may be contained externally to the substrate processing region. The greatest amount of reactions may occur directly under the showerhead, or where the precursors initially interact. The plasma effluents may have had the least amount of time to recombine, and thus greater reactions between the precursors may occur. These gas phase reactions may be affected further by the material used. Silicon- silicon bonds may be weaker bonds than silicon-hydrogen, silicon-oxygen, and other silicon-based bonds. Accordingly, where these greater reactions occur, or where a higher plasma power is utilized, for the silicon- containing precursors of the present technology, a greater number of bonds may be broken. As a possible result, the dielectric material formed in this region may have reduced or no flowability when deposited on the substrate. As the distance of the substrate from the showerhead increases, the amount of interactions may be reduced slightly or more substantially. For example, potentially only one out of two or one out of three silicon-silicon bonds may be broken, and thus the polymerization may include longer chains of silicon groups, which may at least partially impart flowability to the deposited material.
[0031] Accordingly, the substrate may be maintained at a distance from the showerhead, or region where the interactions between the precursors begin, that is less than or about three inches. The substrate may be maintained at least 0.1 inches from the showerhead in disclosed
embodiments. The substrate may also be maintained at a distance less than or about 2 inches, 1 inch, 0.9, 0.8, 0.7, 0.6, 0.5, 0.4, 0.3, 0.2, 0.1, or less inches, or be maintained between about 3 inches and about 0.01 inches, 2 and 0.1 inches, 1 and 0.2 inches, 0.8 and 0.2 inches, etc. The distance may also be determined in conjunction with the process parameters and silicon- containing precursor or precursors being used.
[0032] During the deposition process, the substrate may be maintained at or below about 400°C, and may be maintained at or below about 300°C, 200°C, 100°C, 80°C, 75°C, 50°C, 25°C, 10°C, 0°C, -10°C, -20°C, -30°C, or less, between about 30°C and -30°C, etc. The processing chamber may be maintained at or below about 100 Torr during the processes, and may be maintained at or below about 50 Torr, 25 Torr, 15 Torr, 5 Torr, 1 Torr, 0.1 Torr, etc., or between about 0.1 mTorr and about 10 Torr. The temperature and pressure may also be set based at least partially on the vapor pressure of the silicon-containing precursor or precursors being used. As one non-limiting example, if tetrasilane is used as the silicon-containing precursor, and the chamber temperature is about -10°C, the vapor pressure of tetrasilane may be below about 3 Torr. As such, if the chamber pressure is greater than about 3 Torr, then condensation of the tetrasilane may occur. The interaction of the plasma effluents with the tetrasilane may also impart energy that overcomes the condensation point. Additionally, as the distance from the plasma source increases, and the plasma power decreases either or both from distance or actual generation power, the amount of silicon-silicon bond breaking may be reduced, which may allow longer polymer chains to be formed. The combination of improved polymerization, i.e. longer silicon- silicon chains, along with the benefit of operations at or near the condensation point of the precursors may at least partially impart flowability to the films. The process may also create longer silicon polymer chains with reduced additional material, which may reduce the amount of shrinking of the final film. The plasma source used in the technology may include plasma generated in the chamber, but remote from and fluidly coupled with the substrate processing region, or alternatively generated in a module separate from but fluidly coupled with the processing chamber. The plasma may be from any known or later developed technology, and may produce plasma power between about 0 and 2000 Watts. In disclosed embodiments, the plasma power may be less than or about 1000 Watts, or about 500, 300, 250, 200, 150, 100, 80, 60, 40, 20 Watts, etc. or less. [0033] Utilizing the described processes, a synergistic combination may be produced where an amount of condensation and an amount of polymerization occur to produce flowable films from silicon-containing precursors that may only include silicon and hydrogen bonding. The resultant films may have flowability when initially formed on the substrate, but may be composed of mostly silicon-silicon and silicon-hydrogen bonds. These films that may have more silicon atoms in the matrix, and more silicon-silicon bonding in the deposited film, may shrink less than conventional films. Advantageously, the inventors have additionally determined that these films may have increased density as formed over conventional films. The as-deposited films may have a density greater than or equal to about 1.2 g/cc. The films may also have densities greater than or equal to about 1.3 g/cc, 1.4, 1.5, 1.6, 1.7, 1.8, 1.9, 2.0, 2.1, etc. or greater. [0034] After an amount of dielectric material has been formed on the substrate, additional processes may be performed. One or more densifying operations may be performed to increase the quality of the dielectric material. In disclosed embodiments, once a determined amount of dielectric material has been formed, the introduction and flow of the silicon-containing precursor may be stopped. However, the plasma effluents may be continued to be produced and directed into the substrate processing region at the formed dielectric layer to densify the formed dielectric material. Additionally or alternatively, the formed silicon-based dielectric layer may be annealed to further densify the film. The annealing may be a dry anneal, such as with nitrogen, or a steam anneal that may occur at temperatures between about 200°C and higher, above or about 400°C, above or about 900°C, etc. or greater. During the anneal, the amount or film shrinking may be less than conventional films. For example, the film may shrink by less than 50% during the anneal. Additionally, the films produced by the disclosed technology may shrink by less than or about 40%, 30%, 25%, 20%, 15%, 10%, 5%, 0%, -5%, -10%, etc. or less. In exemplary methods and processes, the inventors have determined that negative shrinking may occur. This negative shrinking may imply that the film expands during the processing. [0035] The above example process as described in conjunction with FIG. 1 may produce a substantially silicon based film, and may be used to produce polysilicon films of various structure and characteristics. The processes may be further adjusted to incorporate additional materials to produce oxides, nitrides, carbides, and other compositions useful in semiconductor processing. A further example of the disclosed technology incorporating additional materials is described with respect to FIG. 2. FIG. 2 shows another flow chart of a process for forming a dielectric film according to disclosed embodiments. The process may include similar operations as described with respect to FIG. 1. In disclosed embodiments, at operation 210 a first precursor may be introduced into a remote plasma region fluidly coupled with a substrate processing region while forming a plasma in the remote plasma region to produce plasma effluents. The first precursor may include one or more of any of the previously described precursors, and may consist exclusively of one or more inert precursors such as argon, helium, or nitrogen. At operation 220, the plasma effluents may be directed into the substrate processing region. [0036] A silicon-containing precursor may be introduced into the substrate processing region at operation 230, and the silicon-containing precursor may have at least one silicon-silicon bond. The silicon-containing precursor may include one or more of any of the previously discussed precursors. For example, the silicon-containing precursor may have the formula SixHy, where x may be greater than or equal to 2, and y is 2x+n or greater, and where n may be any number less than or equal to 2. Additionally, the silicon-containing precursor may be introduced into the processing region in a substantially vapor phase. In disclosed embodiments, the precursors introduced into the processing chamber to form the silicon-based dielectric layer may consist of one or more inert precursors and a silicon-containing precursor consisting or silicon-silicon and silicon-hydrogen containing bonds. For example, the first precursor may consist of argon or helium. At operation 240, the plasma effluents and silicon-containing precursors may be reacted to form a silicon-based dielectric layer on the substrate. These operations may be performed in any of the ways previously described. After the initial film has been formed, a curing operation may optionally be performed at operation 250 that cures the formed silicon-based dielectric layer with at least one additional precursor. [0037] The additional precursor may include one or more of an oxygen-containing precursor, such as ozone, a nitrogen-containing precursor, or a carbon-containing precursor. The precursors may be introduced or utilized with or without the plasma effluents directed into the substrate processing region. The additional precursors may be used to additionally substitute material into the silicon-based dielectric material. For example, ozone or another oxygen-containing precursor may be used to provide oxygen that is incorporated into the silicon matrix to produce a silicon oxide film. This may help pack the formed matrix with additional material that may further help reduce shrinkage on annealing or densifying operations. A variety of films may be formed in this way, including oxides, nitrides, carbides, oxycarbides, oxynitrides, carbonitrides, etc. The additional precursors may be flowed after the formation of the silicon-based dielectric, near the end of the formation, and may be introduced with or without the silicon-containing precursors and/or the first precursor. The temperature and/or pressure of the chamber may be changed during the curing operation. For example, if the temperature of the film forming operation is less than 30°C, for example, the chamber temperature may be raised to above or about 30°C, 50°C, 100°C, 200°C, etc., or higher.
[0038] Subsequent to the optional introduction of additional precursor material, the cured or otherwise formed dielectric may be optionally densified at operation 260. The flow of the silicon-containing precursor and/or the additional precursor may be stopped, and then the flow of the first precursor may be performed, or continued in various embodiments. Plasma effluents developed from the first precursor may be directed into the substrate processing region to densify the formed or cured dielectric layer. In disclosed embodiments the densifying operation may be performed prior to the curing operation such that operation 260 is performed prior to operation 250. Additional operations may be performed optionally in the process including an anneal at operation 270. The formed, cured, and/or densified dielectric may be annealed as previously discussed to improve the final quality of the film. Further post-deposition treatments may be performed that may include one or more of a variety of operations including UV, e-beam, and other curing or annealing type operations. During the anneal, the silicon-based dielectric layer may shrink by less than or about 50%. The films produced by the disclosed technology may shrink by less than or about 40%, 30%, 25%, 20%, 15%, 10%, 5%, etc. or less. All optional operations may be performed in the same or a different chamber than the film forming or deposition operation. By maintaining the substrate in a chamber without breaking vacuum, moisture and other effects on the formed film may be reduced or prevented.
[0039] The technology also encompasses delivery of the silicon-containing precursor into the remote plasma region. Alternatively, the silicon-containing precursor may be activated by a direct plasma applied either in a remote region of the chamber, or alternatively in the processing region of the chamber, where the silicon-containing precursor is delivered to the area in which a plasma is developed. In disclosed embodiments, a silicon-containing precursor may be delivered into a processing region or a plasma region of a chamber and activated with a plasma, such as a type of plasma previously defined. The silicon-containing precursor may be delivered with additional fluids such as inert carriers that may include argon and may include hydrogen in disclosed embodiments as well. The process may be performed at a variety of the temperatures, pressures, and plasma powers previously described. For example, the plasma power applied directly may be less than 500W, and may also be less than or about 300W, 200W, 100W, 80W, 70W, 60W, 50W, etc. or less, and the temperatures may be below or about 100°C, and may also be less than or about 75°C, 50°C, 25°C, 15°C, 10°C, 5°C, 0°C, -5°C, etc. or less. Additionally, in this and other disclosed embodiments, the pedestal on which the substrate resides may be electrically biased. Biasing of the pedestal may provide an electrical field that may be used to direct radical species to the surface of the substrate. [0040] The described processes synergistically utilize silicon-containing precursors having silicon-silicon bonding, temperature, pressure, plasma, and deposition distances to produce flowable films of improved qualities and reduced shrinking. By accounting for the phase transition profiles of the silicon-containing precursors, the technology advantageously may remove nitrogen, carbon, water, hydroxyl groups, and other additional materials that may reduce the density, and increase the shrinking of the formed films. As would be understood, additional modifications to chamber parameters and plasma power may be used to further tune the deposition processes as may be required. Advantageously, tuning these processes may be performed without the need to break vacuum conditions or move the substrate to an additional chamber. This may reduce overall processing times and save costs over conventional techniques. Additional examples of deposition process parameters, chemistries, and components are disclosed in the course of describing an exemplary processing chamber and system below.
Exemplary Processing System
[0041] Deposition chambers that may implement embodiments of the present invention may include high-density plasma chemical vapor deposition (HDP-CVD) chambers, plasma enhanced chemical vapor deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal chemical vapor deposition chambers, among other types of chambers. Specific examples of CVD systems that may implement embodiments of the invention include the CENTURA ULTIMA® HDP-CVD chambers/systems, and PRODUCER® PECVD chambers/systems, available from Applied Materials, Inc. of Santa Clara, Calif. [0042] Examples of substrate processing chambers that can be used with exemplary methods of the invention may include those shown and described in co-assigned U.S. Provisional Patent App. No. 60/803,499 to Lubomirsky et al, filed May 30, 2006, and titled "PROCESS CHAMBER FOR DIELECTRIC GAPFILL," the entire contents of which is herein incorporated by reference for all purposes. Additional exemplary systems may include those shown and described in U.S. Pat. Nos. 6,387,207 and 6,830,624, which are also incorporated herein by reference for all purposes.
[0043] FIG. 3A shows a schematic cross-sectional view of a portion of a substrate processing chamber 301 according to the disclosed technology. A remote plasma system (RPS) 310 may process a gas which then travels through a gas inlet assembly 311. Two distinct gas supply channels may be present within the gas inlet assembly 311. A first channel 312 may carry a gas that passes through the remote plasma system (RPS) 310, while a second channel 313 may bypass the RPS 310. The first channel 312 may be used for the process gas and the second channel 313 may be used for a treatment gas in disclosed embodiments. The lid or conductive top portion 321 and a perforated partition, such as showerhead 353, are shown with an insulating ring 324 disposed between, which may allow an AC potential to be applied to the lid 321 relative to showerhead 353. The process gas may travel through first channel 312 into chamber plasma region 320 and may be excited by a plasma in chamber plasma region 320 alone or in
combination with RPS 310. The combination of chamber plasma region 320 and/or RPS 310 may be referred to as a remote plasma system herein. The perforated partition or showerhead 353 may separate chamber plasma region 320 from a substrate processing region 370 beneath showerhead 353. Showerhead 353 may allow a plasma present in chamber plasma region 320 to avoid directly exciting gases in substrate processing region 370, while still allowing excited species to travel from chamber plasma region 320 into substrate processing region 370. [0044] Showerhead 353 may be positioned between chamber plasma region 320 and substrate processing region 370 and allow plasma effluents or excited derivatives of precursors or other gases created within chamber plasma region 320 to pass through a plurality of through-holes 356 that traverse the thickness of the plate or plates included in the showerhead. The showerhead 353 may also have one or more hollow volumes 351 that can be filled with a precursor in the form of a vapor or gas, such as a silicon-containing precursor, and pass through small holes 355 into substrate processing region 370, but not directly into chamber plasma region 320. Showerhead 353 may be thicker than the length of the smallest diameter 350 of the through-holes 356 in disclosed embodiments. In order to maintain a significant concentration of excited species penetrating from chamber plasma region 320 to substrate processing region 370, the length 326 of the smallest diameter 350 of the through-holes may be restricted by forming larger diameter portions of through-holes 356 part way through the showerhead 353. The length of the smallest diameter 350 of the through-holes 356 may be the same order of magnitude as the smallest diameter of the through-holes 356 or less in disclosed embodiments.
[0045] In the embodiment shown, showerhead 353 may distribute, via through-holes 356, process gases which contain a plasma vapor/gas such as argon, for example. Additionally, the showerhead 353 may distribute, via smaller holes 355, a silicon-containing precursor that is maintained separately from the plasma region 320. The process gas or gases and the silicon- containing precursor may be maintained fluidly separate via the showerhead 353 until the precursors separately enter the processing region 370. The precursors may contact one another once they enter the processing region and react to form a flowable dielectric material on a substrate 380.
[0046] In embodiments, the number of through-holes 356 may be between about 60 and about 2000. Through-holes 356 may have a variety of shapes but may be made round. The smallest diameter 350 of through-holes 356 may be between about 0.5 mm and about 20 mm or between about 1 mm and about 6mm in disclosed embodiments. There is also latitude in choosing the cross-sectional shape of through-holes, which may be made conical, cylindrical or a combination of the two shapes. The number of small holes 355 used to introduce a gas into substrate processing region 370 may be between about 100 and about 5000 or between about 500 and about 2000 in different embodiments. The diameter of the small holes 355 may be between about 0.1 mm and about 2 mm.
[0047] FIG. 3B shows a bottom plan view of a showerhead 353 according to the disclosed technology. Showerhead 353 corresponds with the showerhead shown in FIG. 3 A. Through- holes 356 are depicted with a larger inner-diameter (ID) on the bottom of showerhead 353 and a smaller ID at the top. Small holes 355 are distributed substantially evenly over the surface of the showerhead, even amongst the through-holes 356 which may help to provide more even mixing than other embodiments described herein.
[0048] An additional dual channel showerhead, as well as this processing system and chamber, are more fully described in patent application Ser. No. 13/251,714 filed on Oct. 3, 2011, which is hereby incorporated by reference for all purposes to the extent not inconsistent with the claimed features and description herein.
[0049] An exemplary film may be created on a substrate supported by a pedestal, such as pedestal 375 having a substrate 380 disposed thereon, within substrate processing region 370 when plasma effluents arriving through through-holes 356 in showerhead 353 combine with a silicon-containing precursor arriving through the small holes 355 originating from hollow volumes 351. Though substrate processing region 370 may be equipped to support a plasma for other processes such as curing, no plasma may be present during the growth or deposition of the exemplary films.
[0050] A plasma may be ignited either in chamber plasma region 320 above showerhead 353 or substrate processing region 370 below showerhead 353. Alternatively, no plasma may be formed in any portion of the chamber, and may be only formed in RPS unit 310. A plasma may be present in chamber plasma region 320 to produce the radical plasma effluents, such as from an inflow of one or more of argon, helium, hydrogen, or ammonia. An AC voltage typically in the radio frequency (RF) range is applied between the conductive top portion, such as lid 321, of the processing chamber and showerhead 353 to ignite a plasma in chamber plasma region 320 during deposition. An RF power supply generates a high RF frequency of 13.56 MHz but may also generate other frequencies alone or in combination with the 13.56 MHz frequency. [0051] The top plasma may be left at low or no power when the bottom plasma in the substrate processing region 370 may be turned on during the formation of the dielectric layer or while cleaning the interior surfaces bordering substrate processing region 370. A plasma in substrate processing region 370 may be ignited by applying an AC voltage between showerhead 353 and the pedestal 375 or bottom of the chamber. A cleaning gas may be introduced into substrate processing region 370 while the plasma is present.
[0052] The pedestal 375 may be moveable, and may be configured to be raised or lowered in disclosed embodiments, and may similarly be configured to rotate. The pedestal 375 may have a heat exchange channel through which a heat exchange fluid flows to control the temperature of the substrate. This configuration allows the substrate temperature to be cooled or heated to maintain relatively low temperatures, such as from about 0°C or lower up to about 200°C or higher. The heat exchange fluid may comprise ethylene glycol, water, or some other fluid capable of introducing or removing heat from the system. The wafer support platter of the pedestal may also be resistively heated in order to achieve relatively high temperatures from about 200°C or lower up to about 1100°C or higher using an embedded resistive heating element. An outer portion of the heater element may run adjacent to a perimeter of the support platter, while an inner portion runs on the path of a concentric circle having a smaller radius. The resistive heating element may additionally be coiled through the platter to provide more uniform temperatures. The wiring to the heater element may pass through the stem of the pedestal.
[0053] The chamber plasma region or a region in an RPS may be referred to as a remote plasma region. In embodiments, the radical precursor, e.g. an argon precursor, may be created in the remote plasma region and travel into the substrate processing region to combine with the silicon- containing precursor. In embodiments, the silicon-containing precursor is excited only by the radical-argon precursor. Plasma power may essentially be applied only to the remote plasma region, in embodiments, to ensure that the radical-argon precursor provides the dominant excitation to the silicon-containing precursor.
[0054] In embodiments employing a chamber plasma region, the excited plasma effluents may be generated in a section of the substrate processing region partitioned from a deposition region. The deposition region, also known herein as the substrate processing region, may be where the plasma effluents mix and react with the silicon-containing precursor to deposit dielectric material on the substrate, e.g., a semiconductor wafer. The excited plasma effluents may also be accompanied by additional gases including other inert gases or ammonia, for example. The silicon-containing precursor may not pass through a plasma before entering the substrate plasma region, in embodiments. The substrate processing region may be described herein as "plasma- free" during the deposition of the dielectric material. "Plasma-free" does not necessarily mean the region is devoid of plasma. Ionized species and free electrons created within the plasma region may travel through pores or apertures in the partition or showerhead, but the silicon- containing precursor may not be substantially excited by the plasma power applied to the plasma region. The borders of the plasma in the chamber plasma region are hard to define and may encroach upon the substrate processing region through the apertures in the showerhead. In the case of an inductively-coupled plasma, a small amount of ionization may be effected within the substrate processing region directly. Furthermore, a low intensity plasma may be created in the substrate processing region without eliminating desirable features of the forming film. All causes for a plasma having much lower intensity ion density than the chamber plasma region, or a remote plasma region, during the creation of the excited plasma effluents do not deviate from the scope of "plasma-free" as used herein.
[0055] Plasma power can be a variety of frequencies or a combination of multiple frequencies. In the exemplary processing system, the plasma may be provided by RF power delivered to lid 321 relative to showerhead 353. The RF power may be between about 10 watts and about
2000 watts, between about 100 watts and about 2000 watts, between about 200 watts and about 1500 watts, less than or about 100 Watts, or less than or about 500 watts in different
embodiments. The RF frequency applied in the exemplary processing system may be low RF frequencies less than about 200 kHz, high RF frequencies between about 10 MHz and about 15 MHz, or microwave frequencies greater than or about 1 GHz in different embodiments. The plasma power may be capacitively-coupled (CCP) or inductively-coupled (ICP) into the remote plasma region.
[0056] Substrate processing region 370 can be maintained at a variety of pressures during the flow of precursors, any carrier gases, and plasma effluents into substrate processing region 370. The pressure may be maintained between about 0.1 mTorr and about 100 Torr, between about 1 Torr and about 20 Torr, less than about 5 Torr, or less than about 3 Torr in different
embodiments. [0057] Embodiments of the deposition systems may be incorporated into larger fabrication systems for producing integrated circuit chips. FIG. 3 shows one such system 400 of deposition, etching, baking, and curing chambers according to disclosed embodiments. In the figure, a pair of front opening unified pods (FOUPs) 402 supply substrates of a variety of sizes that are received by robotic arms 404 and placed into a low pressure holding area 406 before being placed into one of the substrate processing chambers 408a-f. A second robotic arm 410 may be used to transport the substrate wafers from the holding area 406 to the substrate processing chambers 408a-f and back. Each substrate processing chamber 408a-f, can be outfitted to perform a number of substrate processing operations including the deposition processes described herein in addition to cyclical layer deposition (CLD), atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), etch, pre-clean, degas, orientation, and other substrate processes.
[0058] The substrate processing chambers 408a-f may include one or more system components for depositing, annealing, curing and/or etching a dielectric film on the substrate wafer. In one configuration, two pairs of the processing chambers, e.g., 408c-d and 408e-f, may be used to deposit dielectric material on the substrate, and the third pair of processing chambers, e.g., 408a- b, may be used to etch the deposited dielectric. In another configuration, all three pairs of chambers, e.g., 408a-f, may be configured to deposit, cure, and density a dielectric film on the substrate. Any one or more of the processes described may be carried out in chamber(s) separated from the fabrication system shown in different embodiments.
[0059] In the preceding description, for the purposes of explanation, numerous details have been set forth in order to provide an understanding of various embodiments of the present invention. It will be apparent to one skilled in the art, however, that certain embodiments may be practiced without some of these details, or with additional details. [0060] Having disclosed several embodiments, it will be recognized by those of skill in the art that various modifications, alternative constructions, and equivalents may be used without departing from the spirit of the disclosed embodiments. Additionally, a number of well-known processes and elements have not been described in order to avoid unnecessarily obscuring the present invention. Accordingly, the above description should not be taken as limiting the scope of the invention.
[0061] Where a range of values is provided, it is understood that each intervening value, to the smallest fraction of the unit of the lower limit, unless the context clearly dictates otherwise, between the upper and lower limits of that range is also specifically disclosed. Each smaller range between any stated value or intervening value in a stated range and any other stated or intervening value in that stated range is encompassed. The upper and lower limits of those smaller ranges may independently be included or excluded in the range, and each range where either, neither, or both limits are included in the smaller ranges is also encompassed within the invention, subject to any specifically excluded limit in the stated range. Where the stated range includes one or both of the limits, ranges excluding either or both of those included limits are also included.
[0062] As used herein and in the appended claims, the singular forms "a", "an", and "the" include plural references unless the context clearly dictates otherwise. Thus, for example, reference to "an aperture" includes a plurality of such apertures, and reference to "the plate" includes reference to one or more plates and equivalents thereof known to those skilled in the art, and so forth.
[0063] Also, the words "comprise(s)", "comprising", "contain(s)", "containing", "include(s)", and "including", when used in this specification and in the following claims, are intended to specify the presence of stated features, integers, components, or steps, but they do not preclude the presence or addition of one or more other features, integers, components, steps, acts, or groups.

Claims

WHAT IS CLAIMED IS:
1. A method of forming a dielectric layer on a substrate in a substrate processing region of a processing chamber, the method comprising:
introducing a first precursor into a remote plasma region fluidly coupled with the substrate processing region while forming a plasma in the remote plasma region to produce plasma effluents;
directing the plasma effluents into the substrate processing region; introducing a silicon-containing precursor into the substrate processing region, wherein the silicon-containing precursor includes at least one silicon-silicon bond; and
reacting the plasma effluents and silicon-containing precursor in the substrate processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate.
2. The method of claim 1, wherein the silicon-containing precursor includes at least two silicon-silicon bonds.
3. The method of claim 1, wherein the first precursor includes one or more precursors selected from the group consisting of ammonia, nitrogen, H2, Ar, and He.
4. The method of claim 1, wherein the plasma effluents and silicon- containing precursor are introduced into the processing region through a showerhead configured to maintain separation of the precursors so that they do not contact each other until they enter the substrate processing region.
5. The method of claim 4, wherein the substrate is maintained at a distance less than or at about 3 inches from the showerhead.
6. The method of claim 1, wherein the substrate processing region is plasma- free during the deposition process.
7. The method of claim 1, wherein the silicon-containing precursor has the formula SixHy, wherein x is greater than or equal to 2, and y is 2x+n or greater, wherein n is any number less than or equal to 2, and wherein the silicon-containing precursor is introduced into the processing region in a substantially vapor phase.
8. The method of claim 1 , wherein the method is performed at a temperature of less than or equal to about 30°C.
9. The method of claim 1 , wherein the method is performed at a pressure of less than or equal to about 5 Torr.
10. The method of claim 1, wherein the as-formed film has a density greater than or equal to about 1.4 g/cc.
11. The method of claim 1 , further comprising stopping the introduction of the silicon-containing precursor after the silicon-based dielectric layer is formed, and directing the plasma effluents into the substrate processing region to densify the formed dielectric layer.
12. The method of claim 1, further comprising annealing the formed silicon- based dielectric layer.
13. The method of claim 12, wherein the formed silicon-based dielectric layer shrinks by less than about 20% during the annealing.
14. A method of forming a dielectric layer on a substrate in a substrate processing region of a processing chamber, the method comprising:
introducing a first precursor into a remote plasma region fluidly coupled with the substrate processing region while forming a plasma in the remote plasma region to produce plasma effluents;
directing the plasma effluents into the substrate processing region; introducing a silicon-containing precursor into the substrate processing region, wherein the silicon-containing precursor includes at least one silicon-silicon bond;
reacting the plasma effluents and silicon-containing precursor in the substrate processing region to form a silicon-based dielectric layer that is initially flowable when formed on the substrate; and
curing the formed silicon-based dielectric layer with at least one additional precursor.
15. The method of claim 14, wherein the at least one additional precursor comprises an oxygen-containing precursor, a nitrogen-containing precursor, or a carbon- containing precursor.
16. The method of claim 14, further comprising directing the plasma effluents into the substrate processing region to densify the cured dielectric layer.
17. The method of claim 14, wherein the silicon-containing precursor has the formula SixHy, wherein x is greater than or equal to 2, and y is 2x+n or greater, wherein n is any number less than or equal to 2, and wherein the silicon-containing precursor is introduced into the processing region in a substantially vapor phase.
18. The method of claim 14, wherein the precursors introduced into the processing chamber to form the silicon-based dielectric layer consist of one or more inert precursors and a silicon-containing precursor consisting of silicon-silicon and silicon-hydrogen containing bonds.
19. The method of claim 14, further comprising annealing the formed silicon- based dielectric layer.
20. The method of claim 14, wherein the formed silicon-based dielectric layer shrinks by less than about 20% during the annealing.
PCT/US2013/076714 2013-01-25 2013-12-19 Low shrinkage dielectric films WO2014116376A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2015555161A JP2016510507A (en) 2013-01-25 2013-12-19 Low shrinkage dielectric film
KR1020157020282A KR20150109379A (en) 2013-01-25 2013-12-19 Low shrinkage dielectric films

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361756762P 2013-01-25 2013-01-25
US61/756,762 2013-01-25
US13/834,333 US9018108B2 (en) 2013-01-25 2013-03-15 Low shrinkage dielectric films
US13/834,333 2013-03-15

Publications (1)

Publication Number Publication Date
WO2014116376A1 true WO2014116376A1 (en) 2014-07-31

Family

ID=51223395

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2013/076714 WO2014116376A1 (en) 2013-01-25 2013-12-19 Low shrinkage dielectric films

Country Status (5)

Country Link
US (1) US9018108B2 (en)
JP (1) JP2016510507A (en)
KR (1) KR20150109379A (en)
TW (1) TW201437416A (en)
WO (1) WO2014116376A1 (en)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US9059002B2 (en) * 2013-08-27 2015-06-16 International Business Machines Corporation Non-merged epitaxially grown MOSFET devices
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
DE102014223465A1 (en) * 2014-11-18 2016-05-19 Evonik Degussa Gmbh Method of producing doped polycrystalline semiconductor layers
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
TWI701357B (en) * 2015-03-17 2020-08-11 美商應用材料股份有限公司 Pulsed plasma for film deposition
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
WO2017078082A1 (en) * 2015-11-04 2017-05-11 国立研究開発法人産業技術総合研究所 Production method and production device for nitrogen compound
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
US10062561B2 (en) * 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
KR102271768B1 (en) * 2017-04-07 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 Gap Filling Using Reactive Annealing
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US11117807B2 (en) * 2017-06-23 2021-09-14 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Method of making aluminum-free neopentasilane
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (en) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 High pressure and high temperature annealing chamber
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111432920A (en) 2017-11-17 2020-07-17 应用材料公司 Condenser system for high pressure processing system
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
CN112437971A (en) * 2018-07-19 2021-03-02 应用材料公司 Low temperature high quality dielectric films
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
CN109166787B (en) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 A kind of flowable chemical vapor deposition method of silicon oxide film
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US20220349049A1 (en) * 2019-06-21 2022-11-03 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing film
US20210090883A1 (en) 2019-09-20 2021-03-25 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113451122A (en) * 2020-03-27 2021-09-28 江苏鲁汶仪器有限公司 Method for depositing high-adhesion film on III-V substrate
TW202221764A (en) * 2020-08-02 2022-06-01 美商應用材料股份有限公司 Integrated flowable low-k gap-fill and plasma treatment

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080179715A1 (en) * 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
US20090035915A1 (en) * 2007-08-01 2009-02-05 United Microelectronics Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US20090104790A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Methods for Forming a Dielectric Layer Within Trenches
US20090280650A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20110212620A1 (en) * 2009-10-05 2011-09-01 Applied Materials, Inc. Post-planarization densification

Family Cites Families (1477)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2861009A (en) 1954-02-19 1958-11-18 Rubner Rebecca Process of decorating a sheet
US2889704A (en) 1954-11-04 1959-06-09 Sheffield Corp Amplifying apparatus for gauging product characteristics
US3046177A (en) 1958-03-31 1962-07-24 C H Masland And Sons Method of applying polyurethane foam to the backs of carpets and equipment therefor
US3048888A (en) 1960-02-05 1962-08-14 Nylonge Corp Apparatus for the production of artificial sponge
US3109703A (en) 1961-02-06 1963-11-05 Nylonge Corp Method for the production of cleaning devices
US3142714A (en) 1961-12-20 1964-07-28 Nylonge Corp Method for the production of cleaning devices
US3166454A (en) 1962-01-15 1965-01-19 Union Carbide Corp Method for producing corrugated polyurethane foam panels
US3451840A (en) 1965-10-06 1969-06-24 Us Air Force Wire coated with boron nitride and boron
USRE28375E (en) 1971-02-12 1975-03-25 Recording and display method and apparatus
US3720784A (en) 1971-02-12 1973-03-13 Bell Telephone Labor Inc Recording and display method and apparatus
US3806223A (en) 1972-03-30 1974-04-23 Corning Glass Works Planar optical waveguide
US4233537A (en) 1972-09-18 1980-11-11 Rudolf Limpaecher Multicusp plasma containment apparatus
US3999918A (en) 1974-07-02 1976-12-28 Log Etronics Inc. Apparatus for making a printing plate from a porous substrate
US4151008A (en) 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4212663A (en) 1978-01-26 1980-07-15 Corning Glass Works Reactants delivery system for optical waveguide manufacturing
US4185252A (en) 1978-05-10 1980-01-22 The United States Of America As Represented By The Secretary Of The Army Microstrip open ring resonator oscillators
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4309225A (en) 1979-09-13 1982-01-05 Massachusetts Institute Of Technology Method of crystallizing amorphous material with a moving energy beam
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
GB2064987B (en) 1979-11-14 1983-11-30 Toray Industries Process for producing transparent shaped article having enhanced anti-reflective effect
US4425146A (en) 1979-12-17 1984-01-10 Nippon Telegraph & Telephone Public Corporation Method of making glass waveguide for optical circuit
US4385802A (en) 1980-06-09 1983-05-31 Corning Glass Works Long wavelength, low-loss optical waveguide
DE3031147A1 (en) 1980-08-18 1982-03-18 Siemens AG, 1000 Berlin und 8000 München METHOD FOR PRODUCING GLASS WITH A PRE-DETERMINED REFRIGERATION PROFILE AND ALKALINE-FREE GLASS FROM AN OXIS OF A BASE MATERIAL DOPED WITH ONE OR SEVERAL SUBSTANCES
EP0048514B1 (en) 1980-09-18 1984-07-18 L'Etat belge, représenté par le Secrétaire Général des Services de la Programmation de la Politique Scientifique Process for crystallising films, and films thus obtained
US4425907A (en) 1980-09-25 1984-01-17 Exxon Research And Engineering Co. Reflector-coupled fluorescent solar collector
JPS5775738U (en) 1980-10-27 1982-05-11
US4351658A (en) 1980-12-12 1982-09-28 Corning Glass Works Manufacture of optical fibers
US4402571A (en) 1981-02-17 1983-09-06 Polaroid Corporation Method for producing a surface relief pattern
US4378987A (en) 1981-10-15 1983-04-05 Corning Glass Works Low temperature method for making optical fibers
DE3205345A1 (en) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "METHOD FOR THE PRODUCTION OF FLUOREDOTED LIGHT-CONDUCTING FIBERS"
US4525733A (en) 1982-03-03 1985-06-25 Eastman Kodak Company Patterning method for reducing hillock density in thin metal films and a structure produced thereby
US4511520A (en) 1982-07-28 1985-04-16 American Can Company Method of making perforated films
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4734345A (en) 1982-10-07 1988-03-29 Matsushita Electric Industrial Co., Ltd. Semiconductor IC and method of making the same
US4521447A (en) 1982-10-18 1985-06-04 Sovonics Solar Systems Method and apparatus for making layered amorphous semiconductor alloys using microwave energy
US4496216A (en) 1982-12-30 1985-01-29 Polaroid Corporation Method and apparatus for exposing photosensitive material
US4520472A (en) 1983-02-07 1985-05-28 Rca Corporation Beam expansion and relay optics for laser diode array
US4507588A (en) 1983-02-28 1985-03-26 Board Of Trustees Operating Michigan State University Ion generating apparatus and method for the use thereof
US4565157A (en) 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4528009A (en) 1983-06-01 1985-07-09 Corning Glass Works Method of forming optical fiber having laminated core
DE3429899A1 (en) 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo METHOD FOR FORMING A DEPOSITION FILM
US4545646A (en) 1983-09-02 1985-10-08 Hughes Aircraft Company Process for forming a graded index optical material and structures formed thereby
JPS6074626A (en) 1983-09-30 1985-04-26 Fujitsu Ltd Device for plasma treatment
KR890004881B1 (en) 1983-10-19 1989-11-30 가부시기가이샤 히다찌세이사꾸쇼 Plasma treating method and device thereof
US4656052A (en) 1984-02-13 1987-04-07 Kyocera Corporation Process for production of high-hardness boron nitride film
US4568631A (en) 1984-04-30 1986-02-04 International Business Machines Corporation Process for delineating photoresist lines at pattern edges only using image reversal composition with diazoquinone
GB8414878D0 (en) 1984-06-11 1984-07-18 Gen Electric Co Plc Integrated optical waveguides
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4571819A (en) 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
US4590042A (en) 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4572841A (en) 1984-12-28 1986-02-25 Rca Corporation Low temperature method of deposition silicon dioxide
US4566403A (en) 1985-01-30 1986-01-28 Sovonics Solar Systems Apparatus for microwave glow discharge deposition
JPH0697660B2 (en) 1985-03-23 1994-11-30 日本電信電話株式会社 Thin film formation method
JPS61234534A (en) 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd Fabrication of silicon nitride coating
US6230650B1 (en) 1985-10-14 2001-05-15 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
US6673722B1 (en) 1985-10-14 2004-01-06 Semiconductor Energy Laboratory Co., Ltd. Microwave enhanced CVD system under magnetic field
JPH0647727B2 (en) 1985-12-24 1994-06-22 キヤノン株式会社 Deposited film formation method
FR2598520B1 (en) 1986-01-21 1994-01-28 Seiko Epson Corp MINERAL PROTECTIVE FILM
DE3601632A1 (en) 1986-01-21 1987-07-23 Leybold Heraeus Gmbh & Co Kg METHOD FOR PRODUCING EXTRACTION GRIDS FOR ION SOURCES AND EXTRACTION GRID PRODUCED BY THE METHOD
US5294285A (en) 1986-02-07 1994-03-15 Canon Kabushiki Kaisha Process for the production of functional crystalline film
US4690830A (en) 1986-02-18 1987-09-01 Solarex Corporation Activation by dehydrogenation or dehalogenation of deposition feedstock and dopant materials useful in the fabrication of hydrogenated amorphous silicon alloys for photovoltaic devices and other semiconductor devices
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US4868005A (en) 1986-04-09 1989-09-19 Massachusetts Institute Of Technology Method and apparatus for photodeposition of films on surfaces
US4715921A (en) 1986-10-24 1987-12-29 General Signal Corporation Quad processor
US4704367A (en) 1986-04-21 1987-11-03 Alvis John R Suppression of hillock growth through multiple thermal cycles by argon implantation
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
KR900005118B1 (en) 1986-07-14 1990-07-19 미쓰비시전기주식회사 Device for forming thin compound film
JPH0639357B2 (en) 1986-09-08 1994-05-25 新技術開発事業団 Method for growing element semiconductor single crystal thin film
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US4902531A (en) 1986-10-30 1990-02-20 Nihon Shinku Gijutsu Kabushiki Kaisha Vacuum processing method and apparatus
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
DE3706271A1 (en) 1987-02-26 1988-09-08 Erwin Strigl DEVICE FOR MEASURING THE INTENSITY PROFILE OF A LASER BEAM
JPS63223712A (en) 1987-03-13 1988-09-19 Hitachi Ltd Light guide and its production
EP0283311B1 (en) 1987-03-18 2001-08-01 Kabushiki Kaisha Toshiba Thin film forming method
US5874350A (en) 1987-03-20 1999-02-23 Canon Kabushiki Kaisha Process for preparing a functional thin film by way of the chemical reaction among active species
JPS6456874A (en) 1987-03-27 1989-03-03 Canon Kk Microwave plasma cvd device
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4762808A (en) 1987-06-22 1988-08-09 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of fluorohydridodisilanes
US4910043A (en) 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4878994A (en) 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4877757A (en) 1987-07-16 1989-10-31 Texas Instruments Incorporated Method of sequential cleaning and passivating a GaAs substrate using remote oxygen plasma
US4830705A (en) 1987-07-16 1989-05-16 Texas Instruments Incorporated Method for etch of GaAs
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JPH0616505B2 (en) 1987-08-18 1994-03-02 株式会社半導体エネルギ−研究所 Insulation film formation method
US4927704A (en) 1987-08-24 1990-05-22 General Electric Company Abrasion-resistant plastic articles and method for making them
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
US4792378A (en) 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JP2763100B2 (en) 1988-02-03 1998-06-11 株式会社東芝 Thin film formation method
US4848400A (en) 1988-02-19 1989-07-18 Fsi International, Inc. Rotary fluid coupling
JP2763104B2 (en) 1988-03-16 1998-06-11 株式会社東芝 Method of forming silicon oxide film
JPH01241826A (en) 1988-03-23 1989-09-26 Mitsubishi Electric Corp Thin film forming device
US4885471A (en) 1988-04-22 1989-12-05 Taunton Technologies, Inc. Ultraviolet radiometer
US4844945A (en) 1988-05-18 1989-07-04 Hewlett-Packard Company Process for producing patterns in dielectric layers formed by plasma enhanced chemical vapor deposition (PECVD)
US5093149A (en) 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
DE3923390A1 (en) 1988-07-14 1990-01-25 Canon Kk DEVICE FOR FORMING A LARGE Vaporized VAPOR FILM USING AT LEAST TWO SEPARATELY DETERMINED ACTIVATED GASES
US5167558A (en) 1988-07-22 1992-12-01 Zenith Electronics Corporation System for registering and assembling tension masks and CRT faceplates
DE3929604A1 (en) 1988-09-12 1990-03-15 Schott Glaswerke INTERNAL COATING OF A TUBE
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US4962063A (en) 1988-11-10 1990-10-09 Applied Materials, Inc. Multistep planarized chemical vapor deposition process with the use of low melting inorganic material for flowing while depositing
US5244841A (en) 1988-11-10 1993-09-14 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material and flowing while depositing
US5204288A (en) 1988-11-10 1993-04-20 Applied Materials, Inc. Method for planarizing an integrated circuit structure using low melting inorganic material
US5003178A (en) 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02222134A (en) 1989-02-23 1990-09-04 Nobuo Mikoshiba Thin film forming apparatus
JPH0824191B2 (en) 1989-03-17 1996-03-06 富士通株式会社 Thin film transistor
US4932749A (en) 1989-03-17 1990-06-12 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes George Claude Optical waveguides formed from multiple layers
US5186120A (en) 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5032435A (en) 1989-03-27 1991-07-16 The United States Of America As Represented By The United States Department Of Energy UV absorption control of thin film growth
US5114770A (en) 1989-06-28 1992-05-19 Canon Kabushiki Kaisha Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method
US5270125A (en) 1989-07-11 1993-12-14 Redwood Microsystems, Inc. Boron nutride membrane in wafer structure
US5142385A (en) 1989-07-18 1992-08-25 Massachusetts Institute Of Technology Holographic lithography
JPH0814021B2 (en) 1989-07-20 1996-02-14 松下電器産業株式会社 Sputtering device
EP0413982B1 (en) 1989-07-27 1997-05-14 Junichi Nishizawa Impurity doping method with adsorbed diffusion source
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
EP0416774B1 (en) 1989-08-28 2000-11-15 Hitachi, Ltd. A method of treating a sample of aluminium-containing material
US5314845A (en) 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US4990374A (en) 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
JP2775345B2 (en) 1989-12-15 1998-07-16 キヤノン株式会社 Plasma processing method and plasma processing apparatus
JPH03197684A (en) 1989-12-26 1991-08-29 Anelva Corp Adjacent plasma cvd device
US5081069A (en) 1989-12-26 1992-01-14 Texas Instruments Incorporated Method for depositing a Tio2 layer using a periodic and simultaneous tilting and rotating platform motion
JPH0680657B2 (en) 1989-12-27 1994-10-12 株式会社半導体プロセス研究所 Method for manufacturing semiconductor device
JPH0740569B2 (en) 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション ECR plasma deposition method
JPH03257182A (en) 1990-03-07 1991-11-15 Hitachi Ltd Surface processing device
JP2960466B2 (en) 1990-03-19 1999-10-06 株式会社日立製作所 Method and apparatus for forming wiring insulating film of semiconductor device
US5298365A (en) 1990-03-20 1994-03-29 Hitachi, Ltd. Process for fabricating semiconductor integrated circuit device, and exposing system and mask inspecting method to be used in the process
JP2938118B2 (en) 1990-03-20 1999-08-23 株式会社東芝 Method and apparatus for evacuating hydrogen from vacuum vessel
JPH03286531A (en) 1990-04-02 1991-12-17 Kawasaki Steel Corp Formation of silicon oxide film
US5266502A (en) 1990-04-03 1993-11-30 Olympus Optical Co., Ltd. STM memory medium
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5447570A (en) 1990-04-23 1995-09-05 Genus, Inc. Purge gas in wafer coating area selection
US5160408A (en) 1990-04-27 1992-11-03 Micron Technology, Inc. Method of isotropically dry etching a polysilicon containing runner with pulsed power
DE9004934U1 (en) 1990-04-30 1991-08-29 Rofin-Sinar Laser Gmbh, 2000 Hamburg, De
US5578350A (en) 1990-07-03 1996-11-26 Fraunhofer-Gesellschaft Method for depositing a thin layer on a substrate by laser pulse vapor deposition
US5204314A (en) 1990-07-06 1993-04-20 Advanced Technology Materials, Inc. Method for delivering an involatile reagent in vapor form to a CVD reactor
US5711816A (en) 1990-07-06 1998-01-27 Advanced Technolgy Materials, Inc. Source reagent liquid delivery apparatus, and chemical vapor deposition system comprising same
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
ES2142803T3 (en) 1990-08-01 2000-05-01 Diomed Ltd HIGH POWER LIGHT SOURCE.
JPH0719777B2 (en) 1990-08-10 1995-03-06 株式会社半導体プロセス研究所 Method for manufacturing semiconductor device
JPH04228572A (en) 1990-08-10 1992-08-18 Sumitomo Electric Ind Ltd Method for synthesizing hard boron nitride
US5304279A (en) 1990-08-10 1994-04-19 International Business Machines Corporation Radio frequency induction/multipole plasma processing tool
US5269847A (en) 1990-08-23 1993-12-14 Applied Materials, Inc. Variable rate distribution gas flow reaction chamber
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
KR930011413B1 (en) 1990-09-25 1993-12-06 가부시키가이샤 한도오따이 에네루기 겐큐쇼 Plasma cvd method for using pulsed waveform
JP3020580B2 (en) 1990-09-28 2000-03-15 株式会社日立製作所 Microwave plasma processing equipment
US5133986A (en) 1990-10-05 1992-07-28 International Business Machines Corporation Plasma enhanced chemical vapor processing system using hollow cathode effect
US5078922A (en) 1990-10-22 1992-01-07 Watkins-Johnson Company Liquid source bubbler
US5148714A (en) 1990-10-24 1992-09-22 Ag Processing Technology, Inc. Rotary/linear actuator for closed chamber, and reaction chamber utilizing same
JP2640174B2 (en) 1990-10-30 1997-08-13 三菱電機株式会社 Semiconductor device and manufacturing method thereof
US5178739A (en) 1990-10-31 1993-01-12 International Business Machines Corporation Apparatus for depositing material into high aspect ratio holes
KR930009549B1 (en) 1990-11-28 1993-10-06 현대전자산업 주식회사 High resistivity attaining method of poly-silicon
JP3206916B2 (en) 1990-11-28 2001-09-10 住友電気工業株式会社 Method for reducing defect concentration, method for producing optical glass for transmitting ultraviolet light, and optical glass for transmitting ultraviolet light
DE69103251T2 (en) 1990-11-30 1994-11-24 Central Glass Co Ltd Process for forming an amorphous silicon thin film using plasma CVD.
WO1992012535A1 (en) 1991-01-08 1992-07-23 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (en) 1991-01-23 1998-01-14 日本電気株式会社 Method of forming fluorine-containing silicon oxide film
JP3101330B2 (en) 1991-01-23 2000-10-23 キヤノン株式会社 Method and apparatus for continuously forming large-area functional deposited film by microwave plasma CVD
US5897751A (en) 1991-03-11 1999-04-27 Regents Of The University Of California Method of fabricating boron containing coatings
JP3044824B2 (en) 1991-04-27 2000-05-22 ソニー株式会社 Dry etching apparatus and dry etching method
JPH04341568A (en) 1991-05-16 1992-11-27 Toshiba Corp Method for forming thin film and device therefor
JP3670277B2 (en) 1991-05-17 2005-07-13 ラム リサーチ コーポレーション Method for depositing SiOx films with low intrinsic stress and / or low hydrogen content
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5525550A (en) 1991-05-21 1996-06-11 Fujitsu Limited Process for forming thin films by plasma CVD for use in the production of semiconductor devices
JP3375646B2 (en) 1991-05-31 2003-02-10 株式会社日立製作所 Plasma processing equipment
JP2699695B2 (en) 1991-06-07 1998-01-19 日本電気株式会社 Chemical vapor deposition
EP0518544B1 (en) 1991-06-10 2000-08-30 AT&T Corp. Anisotropic deposition of dielectrics
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH0521393A (en) 1991-07-11 1993-01-29 Sony Corp Plasma processor
US5284805A (en) 1991-07-11 1994-02-08 Sematech, Inc. Rapid-switching rotating disk reactor
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
DE4228853C2 (en) 1991-09-18 1993-10-21 Schott Glaswerke Optical waveguide with a planar or only slightly curved substrate and method for its preparation and use of such
US6979840B1 (en) 1991-09-25 2005-12-27 Semiconductor Energy Laboratory Co., Ltd. Thin film transistors having anodized metal film between the gate wiring and drain wiring
JPH0590214A (en) 1991-09-30 1993-04-09 Tokyo Ohka Kogyo Co Ltd Coaxial type plasma treatment device
US5507881A (en) 1991-09-30 1996-04-16 Fuji Electric Co., Ltd. Thin-film solar cell and method of manufacturing same
JP3231096B2 (en) 1991-10-15 2001-11-19 キヤノン株式会社 Base for liquid jet recording head, method of manufacturing the same, liquid jet recording head, and liquid jet recording apparatus
DE4137606C1 (en) 1991-11-15 1992-07-30 Schott Glaswerke, 6500 Mainz, De
JPH05226480A (en) 1991-12-04 1993-09-03 Nec Corp Manufacture of semiconductor device
US5270264A (en) 1991-12-20 1993-12-14 Intel Corporation Process for filling submicron spaces with dielectric
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5253319A (en) 1992-02-24 1993-10-12 Corning Incorporated Planar optical waveguides with planar optical elements
CA2062629C (en) 1992-03-10 1999-06-15 John Barry French Apparatus and method for liquid sample introduction
JP2830591B2 (en) 1992-03-12 1998-12-02 日本電気株式会社 Semiconductor optical function device
JPH05259156A (en) 1992-03-16 1993-10-08 Fujitsu Ltd Manufacture of semiconductor device
JP3084497B2 (en) 1992-03-25 2000-09-04 東京エレクトロン株式会社 Method for etching SiO2 film
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
JP2773530B2 (en) 1992-04-15 1998-07-09 日本電気株式会社 Method for manufacturing semiconductor device
JPH05304147A (en) 1992-04-27 1993-11-16 Fujitsu Ltd Fabrication of semiconductor device
KR100292330B1 (en) 1992-05-01 2001-09-17 이데이 노부유끼 Semiconductor device, manufacturing method thereof, and method for manufacturing silicon on insulator(soi) substrate
US5279784A (en) 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
JP3238744B2 (en) 1992-05-15 2001-12-17 正俊 右高 Method of manufacturing insulating film and method of manufacturing semiconductor device using this insulating film
JP2792335B2 (en) 1992-05-27 1998-09-03 日本電気株式会社 Method for manufacturing semiconductor device
US5356722A (en) 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5252178A (en) 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
US5534072A (en) 1992-06-24 1996-07-09 Anelva Corporation Integrated module multi-chamber CVD processing system and its method for processing subtrates
JP2895703B2 (en) 1992-07-14 1999-05-24 三菱電機株式会社 Exposure apparatus and exposure method using the exposure apparatus
JP3688726B2 (en) 1992-07-17 2005-08-31 株式会社東芝 Manufacturing method of semiconductor device
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JPH0750690B2 (en) 1992-08-21 1995-05-31 日本電気株式会社 Method and apparatus for epitaxial growth of semiconductor crystal using halide
US5273930A (en) 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
US5415835A (en) 1992-09-16 1995-05-16 University Of New Mexico Method for fine-line interferometric lithography
US5317900A (en) 1992-10-02 1994-06-07 The Lyle E. & Barbara L. Bergquist Trust Ultrasensitive helium leak detector for large systems
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JPH06140704A (en) 1992-10-26 1994-05-20 Mitsubishi Electric Corp Laser light irradiation equipment
MY109592A (en) 1992-11-16 1997-03-31 Tokyo Electron Ltd Method and apparatus for manufacturing a liquid crystal display substrate, and apparatus and method for evaluating semiconductor crystals.
US5567267A (en) 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5306530A (en) 1992-11-23 1994-04-26 Associated Universities, Inc. Method for producing high quality thin layer films on substrates
TW337513B (en) 1992-11-23 1998-08-01 Cvd Inc Chemical vapor deposition-produced silicon carbide having improved properties and preparation process thereof
JP2684942B2 (en) 1992-11-30 1997-12-03 日本電気株式会社 Chemical vapor deposition method, chemical vapor deposition apparatus, and method for manufacturing multilayer wiring
US5377139A (en) 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5686734A (en) 1993-01-22 1997-11-11 Canon Kabushiki Kaisha Thin film semiconductor device and photoelectric conversion device using the thin film semiconductor device
US5468595A (en) 1993-01-29 1995-11-21 Electron Vision Corporation Method for three-dimensional control of solubility properties of resist layers
US5739898A (en) 1993-02-03 1998-04-14 Nikon Corporation Exposure method and apparatus
US5665167A (en) 1993-02-16 1997-09-09 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus having a workpiece-side electrode grounding circuit
US5401350A (en) 1993-03-08 1995-03-28 Lsi Logic Corporation Coil configurations for improved uniformity in inductively coupled plasma systems
US5326725A (en) 1993-03-11 1994-07-05 Applied Materials, Inc. Clamping ring and susceptor therefor
JP3265042B2 (en) 1993-03-18 2002-03-11 東京エレクトロン株式会社 Film formation method
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
JP2637891B2 (en) 1993-03-26 1997-08-06 日本電気株式会社 Manufacturing method of optical waveguide
JP3236111B2 (en) 1993-03-31 2001-12-10 キヤノン株式会社 Plasma processing apparatus and processing method
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5439524A (en) 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
KR0142150B1 (en) 1993-04-09 1998-07-15 윌리엄 티. 엘리스 Method for etching boron nitride
DE69422770T2 (en) 1993-04-16 2000-09-21 Libbey Owens Ford Co METHOD AND DEVICE FOR COATING A GLASS SUBSTRATE
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US5434109A (en) 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
EP0624896B1 (en) 1993-05-13 1999-09-22 Applied Materials, Inc. Contamination control in plasma contouring the plasma sheath using materials of differing rf impedances
US5387288A (en) 1993-05-14 1995-02-07 Modular Process Technology Corp. Apparatus for depositing diamond and refractory materials comprising rotating antenna
KR100255689B1 (en) 1993-05-27 2000-05-01 윤종용 Semiconductor laser device and its manufacturing method
US5483055A (en) 1994-01-18 1996-01-09 Thompson; Timothy V. Method and apparatus for performing an automatic focus operation for a microscope
US5384008A (en) 1993-06-18 1995-01-24 Applied Materials, Inc. Process and apparatus for full wafer deposition
JPH0729897A (en) 1993-06-25 1995-01-31 Nec Corp Manufacture of semiconductor device
US5365057A (en) 1993-07-02 1994-11-15 Litton Systems, Inc. Light-weight night vision device
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
US5663077A (en) 1993-07-27 1997-09-02 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a thin film transistor in which the gate insulator comprises two oxide films
US5483920A (en) 1993-08-05 1996-01-16 Board Of Governors Of Wayne State University Method of forming cubic boron nitride films
US5474955A (en) 1993-08-06 1995-12-12 Micron Technology, Inc. Method for optimizing thermal budgets in fabricating semconductors
US5348774A (en) 1993-08-11 1994-09-20 Alliedsignal Inc. Method of rapidly densifying a porous structure
JPH0758036A (en) 1993-08-16 1995-03-03 Ebara Corp Thin film fabrication apparatus
IL106747A0 (en) 1993-08-20 1993-12-08 Oren Aharon Laser beam analyzer
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JP2641385B2 (en) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド Film formation method
US5705321A (en) 1993-09-30 1998-01-06 The University Of New Mexico Method for manufacture of quantum sized periodic structures in Si materials
JPH07109573A (en) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd Glass substrate and heat treatment
EP0653501B1 (en) 1993-11-11 1998-02-04 Nissin Electric Company, Limited Plasma-CVD method and apparatus
JP3219609B2 (en) 1993-11-29 2001-10-15 キヤノン株式会社 Ink refill device and ink refill method
US5412180A (en) 1993-12-02 1995-05-02 The Regents Of The University Of California Ultra high vacuum heating and rotating specimen stage
TW293983B (en) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5522957A (en) 1993-12-22 1996-06-04 Vlsi Technology, Inc. Method for leak detection in etching chambers
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
WO1995018460A1 (en) 1993-12-27 1995-07-06 Kabushiki Kaisha Toshiba Thin film formation method
DE69424759T2 (en) 1993-12-28 2001-02-08 Applied Materials Inc Vapor deposition process in a single chamber for thin film transistors
US5403434A (en) 1994-01-06 1995-04-04 Texas Instruments Incorporated Low-temperature in-situ dry cleaning process for semiconductor wafer
JP2645215B2 (en) 1994-01-17 1997-08-25 株式会社東芝 Thin film forming equipment
US5811326A (en) 1994-01-17 1998-09-22 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing thin film transistor
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5766365A (en) 1994-02-23 1998-06-16 Applied Materials, Inc. Removable ring for controlling edge deposition in substrate processing apparatus
US5888304A (en) 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US6033480A (en) 1994-02-23 2000-03-07 Applied Materials, Inc. Wafer edge deposition elimination
JPH07268622A (en) 1994-03-01 1995-10-17 Applied Sci & Technol Inc Microwave plasma sticking source
TW254030B (en) 1994-03-18 1995-08-11 Anelva Corp Mechanic escape mechanism for substrate
US5589002A (en) 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
KR950034472A (en) 1994-04-06 1995-12-28 가나이 쓰토무 Pattern forming method and projection exposure apparatus used therein
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5492858A (en) 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5518805A (en) 1994-04-28 1996-05-21 Xerox Corporation Hillock-free multilayer metal lines for high performance thin film structures
US6110838A (en) 1994-04-29 2000-08-29 Texas Instruments Incorporated Isotropic polysilicon plus nitride stripping
JPH07316823A (en) 1994-05-31 1995-12-05 Sony Corp Plasma cvd method and device therefor
US6326248B1 (en) 1994-06-02 2001-12-04 Semiconductor Energy Laboratory Co., Ltd. Process for fabricating semiconductor device
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5494854A (en) 1994-08-17 1996-02-27 Texas Instruments Incorporated Enhancement in throughput and planarity during CMP using a dielectric stack containing HDP-SiO2 films
JP3247270B2 (en) 1994-08-25 2002-01-15 東京エレクトロン株式会社 Processing apparatus and dry cleaning method
US5753044A (en) 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US6074696A (en) 1994-09-16 2000-06-13 Kabushiki Kaisha Toshiba Substrate processing method which utilizes a rotary member coupled to a substrate holder which holds a target substrate
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (en) 1994-11-15 1996-06-07 Fujitsu Ltd Manufacture of semiconductor device with insulation film
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
JPH08153784A (en) 1994-11-28 1996-06-11 Nec Corp Manufacture of semiconductor device
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH08167605A (en) 1994-12-15 1996-06-25 Mitsubishi Electric Corp Method of manufacturing silicon nitride film
JP3469337B2 (en) 1994-12-16 2003-11-25 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JPH08225947A (en) 1994-12-16 1996-09-03 Canon Inc Plasma treatment method and device therefor
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
DE4445427C2 (en) 1994-12-20 1997-04-30 Schott Glaswerke Plasma CVD method for producing a gradient layer
US5854803A (en) 1995-01-12 1998-12-29 Semiconductor Energy Laboratory Co., Ltd. Laser illumination system
US6034378A (en) 1995-02-01 2000-03-07 Nikon Corporation Method of detecting position of mark on substrate, position detection apparatus using this method, and exposure apparatus using this position detection apparatus
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5688357A (en) 1995-02-15 1997-11-18 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US5759744A (en) 1995-02-24 1998-06-02 University Of New Mexico Methods and apparatus for lithography of sparse arrays of sub-micrometer features
JPH08236518A (en) 1995-02-28 1996-09-13 Hitachi Ltd Formation method of silicon oxide film
JP3824675B2 (en) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ Crystal manufacturing equipment
TW297135B (en) 1995-03-20 1997-02-01 Hitachi Ltd
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US5571577A (en) 1995-04-07 1996-11-05 Board Of Trustees Operating Michigan State University Method and apparatus for plasma treatment of a surface
JPH08288286A (en) 1995-04-19 1996-11-01 Sharp Corp Silicon oxide film forming method
AUPN258095A0 (en) 1995-04-21 1995-05-18 Unisearch Limited Low temperature fabrication of silica-based pecvd channel waveguides
DE19516669A1 (en) 1995-05-05 1996-11-07 Siemens Ag Process for the deposition of a silicon oxide layer
US6607991B1 (en) 1995-05-08 2003-08-19 Electron Vision Corporation Method for curing spin-on dielectric films utilizing electron beam radiation
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
FR2734402B1 (en) 1995-05-15 1997-07-18 Brouquet Pierre PROCESS FOR ELECTRICAL ISOLATION IN MICROELECTRONICS, APPLICABLE TO NARROW CAVITIES, BY DEPOSITION OF OXIDE IN THE VISCOUS STATE AND CORRESPONDING DEVICE
US5702532A (en) 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
FR2734811B1 (en) 1995-06-01 1997-07-04 Saint Gobain Vitrage TRANSPARENT SUBSTRATES COATED WITH A STACK OF THIN LAYERS WITH REFLECTIVE PROPERTIES IN THE INFRARED AND / OR IN THE FIELD OF SOLAR RADIATION
US20010028922A1 (en) 1995-06-07 2001-10-11 Sandhu Gurtej S. High throughput ILD fill process for high aspect ratio gap fill
JP3380091B2 (en) 1995-06-09 2003-02-24 株式会社荏原製作所 Reactive gas injection head and thin film vapor phase growth apparatus
JP3122601B2 (en) 1995-06-15 2001-01-09 東京エレクトロン株式会社 Plasma film forming method and apparatus therefor
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5755859A (en) 1995-08-24 1998-05-26 International Business Machines Corporation Cobalt-tin alloys and their applications for devices, chip interconnections and packaging
US6228751B1 (en) 1995-09-08 2001-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device
US6096389A (en) 1995-09-14 2000-08-01 Canon Kabushiki Kaisha Method and apparatus for forming a deposited film using a microwave CVD process
JPH09116015A (en) 1995-09-15 1997-05-02 Korea Electron Telecommun Filling method for contact hole
US5728631A (en) 1995-09-29 1998-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a low capacitance dielectric layer
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5966595A (en) 1995-10-05 1999-10-12 Micron Technology, Inc. Method to form a DRAM capacitor using low temperature reoxidation
JP3979687B2 (en) 1995-10-26 2007-09-19 アプライド マテリアルズ インコーポレイテッド Method for improving film stability of halogen-doped silicon oxide films
US5990984A (en) 1995-11-16 1999-11-23 Viratec Thin Films, Inc. Coated polymer substrate with matching refractive index and method of making the same
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
US5736423A (en) 1995-11-16 1998-04-07 Advanced Micro Devices, Inc. Method for depositing very thin PECVD SiO2 in 0.5 micron and 0.35 micron technologies
US5925189A (en) 1995-12-06 1999-07-20 Applied Materials, Inc. Liquid phosphorous precursor delivery apparatus
US6009827A (en) 1995-12-06 2000-01-04 Applied Materials, Inc. Apparatus for creating strong interface between in-situ SACVD and PECVD silicon oxide films
US5756400A (en) 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces
US5767628A (en) 1995-12-20 1998-06-16 International Business Machines Corporation Helicon plasma processing tool utilizing a ferromagnetic induction coil with an internal cooling channel
DE69623651T2 (en) 1995-12-27 2003-04-24 Lam Res Corp METHOD FOR FILLING TRENCHES ON A SEMICONDUCTOR DISC
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
KR100267418B1 (en) 1995-12-28 2000-10-16 엔도 마코토 Plasma treatment and plasma treating device
JPH09237785A (en) 1995-12-28 1997-09-09 Toshiba Corp Semiconductor device and its manufacture
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5985102A (en) 1996-01-29 1999-11-16 Micron Technology, Inc. Kit for electrically isolating collimator of PVD chamber, chamber so modified, and method of using
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
WO1997029156A1 (en) 1996-02-06 1997-08-14 E.I. Du Pont De Nemours And Company Treatment of deagglomerated particles with plasma-activated species
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6042901A (en) 1996-02-20 2000-03-28 Lam Research Corporation Method for depositing fluorine doped silicon dioxide films
US6340417B1 (en) 1996-03-14 2002-01-22 Advanced Micro Devices, Inc. Reactor and method for ionized metal deposition
US5669975A (en) 1996-03-27 1997-09-23 Sony Corporation Plasma producing method and apparatus including an inductively-coupled plasma source
US6106678A (en) 1996-03-29 2000-08-22 Lam Research Corporation Method of high density plasma CVD gap-filling
JP2871580B2 (en) 1996-03-29 1999-03-17 日本電気株式会社 Method for manufacturing semiconductor device
JP2917897B2 (en) 1996-03-29 1999-07-12 日本電気株式会社 Method for manufacturing semiconductor device
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US5712185A (en) 1996-04-23 1998-01-27 United Microelectronics Method for forming shallow trench isolation
GB2312525A (en) 1996-04-24 1997-10-29 Northern Telecom Ltd Providing cladding on planar optical waveguide by heating to flow
US5844684A (en) 1997-02-28 1998-12-01 Brown University Research Foundation Optical method for determining the mechanical properties of a material
US5888591A (en) 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5782980A (en) 1996-05-14 1998-07-21 Advanced Micro Devices, Inc. Low pressure chemical vapor deposition apparatus including a process gas heating subsystem
US5997642A (en) 1996-05-21 1999-12-07 Symetrix Corporation Method and apparatus for misted deposition of integrated circuit quality thin films
US5710079A (en) 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films
US5728260A (en) 1996-05-29 1998-03-17 Applied Materials, Inc. Low volume gas distribution assembly and method for a chemical downstream etch tool
EP0907905A4 (en) 1996-06-10 1999-09-22 Holographic Lithography System Process for modulating interferometric lithography patterns to record selected discrete patterns in photoresist
US6088505A (en) 1996-06-10 2000-07-11 Holographic Lithography Systems, Inc. Holographic patterning method and tool for production environments
JP4195734B2 (en) 1996-06-10 2008-12-10 テキサス インスツルメンツ インコーポレイテツド Integrated circuit trench isolation fabrication method
JP3739137B2 (en) 1996-06-18 2006-01-25 日本電気株式会社 Plasma generator and surface treatment apparatus using the plasma generator
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US5846332A (en) 1996-07-12 1998-12-08 Applied Materials, Inc. Thermally floating pedestal collar in a chemical vapor deposition chamber
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
DE19629705A1 (en) 1996-07-24 1998-01-29 Joachim Dr Scheerer Ultrasonic cleaning especially of wafer
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
US5807785A (en) 1996-08-02 1998-09-15 Applied Materials, Inc. Low dielectric constant silicon dioxide sandwich layer
US5902134A (en) 1996-08-07 1999-05-11 Matsushita Electronics Corporation Dry etching post-treatment method and method for manufacturing a semiconductor device
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
US5811356A (en) 1996-08-19 1998-09-22 Applied Materials, Inc. Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US20020050605A1 (en) 1996-08-26 2002-05-02 J.S. Jason Jenq Method to reduce contact distortion in devices having silicide contacts
US5939763A (en) 1996-09-05 1999-08-17 Advanced Micro Devices, Inc. Ultrathin oxynitride structure and process for VLSI applications
US5882414A (en) 1996-09-09 1999-03-16 Applied Materials, Inc. Method and apparatus for self-cleaning a blocker plate
JPH1092810A (en) 1996-09-10 1998-04-10 Mitsubishi Electric Corp Semiconductor device
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US6244575B1 (en) 1996-10-02 2001-06-12 Micron Technology, Inc. Method and apparatus for vaporizing liquid precursors and system for using same
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
JP2937140B2 (en) 1996-10-09 1999-08-23 日本電気株式会社 Method for manufacturing semiconductor device
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US5804259A (en) 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5968587A (en) 1996-11-13 1999-10-19 Applied Materials, Inc. Systems and methods for controlling the temperature of a vapor deposition apparatus
US5994209A (en) 1996-11-13 1999-11-30 Applied Materials, Inc. Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5911834A (en) 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5855681A (en) 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US5876503A (en) 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
JPH10163183A (en) 1996-11-29 1998-06-19 Sony Corp Thin film forming equipment
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
FR2756663B1 (en) 1996-12-04 1999-02-26 Berenguer Marc PROCESS FOR TREATING A SEMICONDUCTOR SUBSTRATE COMPRISING A SURFACE TREATMENT STEP
JP3568749B2 (en) 1996-12-17 2004-09-22 株式会社デンソー Dry etching method for semiconductor
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US5935283A (en) 1996-12-31 1999-08-10 Atmi Ecosys Corporation Clog-resistant entry structure for introducing a particulate solids-containing and/or solids-forming gas stream to a gas processing system
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6233044B1 (en) 1997-01-21 2001-05-15 Steven R. J. Brueck Methods and apparatus for integrating optical and interferometric lithography to produce complex patterns
US5817562A (en) 1997-01-24 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd Method for making improved polysilicon FET gate electrode structures and sidewall spacers for more reliable self-aligned contacts (SAC)
US5822479A (en) 1997-01-24 1998-10-13 Northern Telecom Limited Writing diffraction gratings with interference fringe patterns
WO1998033362A1 (en) 1997-01-29 1998-07-30 Tadahiro Ohmi Plasma device
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (en) 1997-02-10 1999-03-12 Saint Gobain Vitrage TRANSPARENT SUBSTRATE EQUIPPED WITH AT LEAST ONE THIN LAYER BASED ON SILICON NITRIDE OR OXYNITRIDE AND ITS PROCESS FOR OBTAINING IT
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6503594B2 (en) 1997-02-13 2003-01-07 Samsung Electronics Co., Ltd. Silicon wafers having controlled distribution of defects and slip
TW388100B (en) 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US6013584A (en) 1997-02-19 2000-01-11 Applied Materials, Inc. Methods and apparatus for forming HDP-CVD PSG film used for advanced pre-metal dielectric layer applications
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6190233B1 (en) 1997-02-20 2001-02-20 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
JPH10242142A (en) 1997-02-21 1998-09-11 Nippon Asm Kk Semiconductor element and manufacture thereof
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
US5849092A (en) 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US5817566A (en) 1997-03-03 1998-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Trench filling method employing oxygen densified gap filling silicon oxide layer formed with low ozone concentration
US6125859A (en) 1997-03-05 2000-10-03 Applied Materials, Inc. Method for improved cleaning of substrate processing systems
JP3270353B2 (en) 1997-03-05 2002-04-02 日本電気株式会社 Manufacturing method of optical waveguide
US5981354A (en) 1997-03-12 1999-11-09 Advanced Micro Devices, Inc. Semiconductor fabrication employing a flowable oxide to enhance planarization in a shallow trench isolation process
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US20030143410A1 (en) 1997-03-24 2003-07-31 Applied Materials, Inc. Method for reduction of contaminants in amorphous-silicon film
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US5986234A (en) 1997-03-28 1999-11-16 The Regents Of The University Of California High removal rate laser-based coating removal system
US6030666A (en) 1997-03-31 2000-02-29 Lam Research Corporation Method for microwave plasma substrate heating
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US5926722A (en) 1997-04-07 1999-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Planarization of shallow trench isolation by differential etchback and chemical mechanical polishing
KR100226751B1 (en) 1997-04-10 1999-10-15 구본준 Forming method for metallization of semiconductor device
JPH10284431A (en) 1997-04-11 1998-10-23 Sharp Corp Manufacture of soi substrate
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US6077764A (en) 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6673673B1 (en) 1997-04-22 2004-01-06 Samsung Electronics Co., Ltd. Method for manufacturing a semiconductor device having hemispherical grains
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6077786A (en) 1997-05-08 2000-06-20 International Business Machines Corporation Methods and apparatus for filling high aspect ratio structures with silicate glass
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
US5907435A (en) 1997-06-26 1999-05-25 Xerox Corporation Laser beam optical focusing system of two symmetrical diffractive optical elements
US5869149A (en) 1997-06-30 1999-02-09 Lam Research Corporation Method for preparing nitrogen surface treated fluorine doped silicon dioxide films
US6280790B1 (en) 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US6027601A (en) 1997-07-01 2000-02-22 Applied Materials, Inc Automatic frequency tuning of an RF plasma source of an inductively coupled plasma reactor
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US6276072B1 (en) 1997-07-10 2001-08-21 Applied Materials, Inc. Method and apparatus for heating and cooling substrates
US6274058B1 (en) 1997-07-11 2001-08-14 Applied Materials, Inc. Remote plasma cleaning method for processing chambers
US6024799A (en) 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
US5944049A (en) 1997-07-15 1999-08-31 Applied Materials, Inc. Apparatus and method for regulating a pressure in a chamber
KR100243446B1 (en) 1997-07-19 2000-02-01 김상호 Showerhead apparatus having plasma generating portions
KR100385946B1 (en) 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US5966499A (en) 1997-07-28 1999-10-12 Mks Instruments, Inc. System for delivering a substantially constant vapor flow to a chemical process reactor
US6936849B1 (en) 1997-07-29 2005-08-30 Micron Technology, Inc. Silicon carbide gate transistor
KR100269306B1 (en) 1997-07-31 2000-10-16 윤종용 Integrate circuit device having buffer layer containing metal oxide stabilized by low temperature treatment and fabricating method thereof
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US6186092B1 (en) 1997-08-19 2001-02-13 Applied Materials, Inc. Apparatus and method for aligning and controlling edge deposition on a substrate
KR100261017B1 (en) 1997-08-19 2000-08-01 윤종용 Method for forming metal wiring of semiconductor device
US5926737A (en) 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6017437A (en) 1997-08-22 2000-01-25 Cutek Research, Inc. Process chamber and method for depositing and/or removing material on a substrate
US6451686B1 (en) 1997-09-04 2002-09-17 Applied Materials, Inc. Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6114235A (en) 1997-09-05 2000-09-05 Advanced Micro Devices, Inc. Multipurpose cap layer dielectric
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6080965A (en) 1997-09-18 2000-06-27 Tokyo Electron Limited Single-substrate-heat-treatment apparatus in semiconductor processing system
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6149730A (en) 1997-10-08 2000-11-21 Nec Corporation Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor
US6238527B1 (en) 1997-10-08 2001-05-29 Canon Kabushiki Kaisha Thin film forming apparatus and method of forming thin film of compound by using the same
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6566281B1 (en) 1997-10-15 2003-05-20 International Business Machines Corporation Nitrogen-rich barrier layer and structures formed
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
GB2330664B (en) 1997-10-21 2002-01-23 Integrated Optical Components The manufacture of integrated optical devices
US6365870B1 (en) 1997-10-22 2002-04-02 Fraunhofer-Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and device for treating work pieces with laser radiation
JP3299700B2 (en) 1997-10-22 2002-07-08 日本発条株式会社 Manufacturing method of optical waveguide
US6013191A (en) 1997-10-27 2000-01-11 Advanced Refractory Technologies, Inc. Method of polishing CVD diamond films by oxygen plasma
GB9723222D0 (en) 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6534242B2 (en) 1997-11-06 2003-03-18 Canon Kabushiki Kaisha Multiple exposure device formation
US6017791A (en) 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
US5922617A (en) 1997-11-12 1999-07-13 Functional Genetics, Inc. Rapid screening assay methods and devices
GB9724150D0 (en) 1997-11-14 1998-01-14 Univ Southampton Apparatus providing variable refectivity to electromagnetic radiation
US5903106A (en) 1997-11-17 1999-05-11 Wj Semiconductor Equipment Group, Inc. Plasma generating apparatus having an electrostatic shield
JP3141827B2 (en) 1997-11-20 2001-03-07 日本電気株式会社 Method for manufacturing semiconductor device
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
US20050272254A1 (en) 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US6268297B1 (en) 1997-11-26 2001-07-31 Texas Instruments Incorporated Self-planarizing low-temperature doped-silicate-glass process capable of gap-filling narrow spaces
KR100253079B1 (en) 1997-12-01 2000-04-15 윤종용 Semiconductor element trench isolation method
US6296712B1 (en) 1997-12-02 2001-10-02 Applied Materials, Inc. Chemical vapor deposition hardware and process
US6432479B2 (en) 1997-12-02 2002-08-13 Applied Materials, Inc. Method for in-situ, post deposition surface passivation of a chemical vapor deposited film
US6079356A (en) 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6207353B1 (en) 1997-12-10 2001-03-27 International Business Machines Corporation Resist formulation which minimizes blistering during etching
FR2772519B1 (en) 1997-12-11 2000-01-14 Alsthom Cge Alcatel ANTENNA REALIZED ACCORDING TO MICRO-TAPE TECHNIQUE AND DEVICE INCLUDING THIS ANTENNA
US20020011215A1 (en) 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6441337B1 (en) 1997-12-12 2002-08-27 Matsushita Electric Industrial Co., Ltd. Laser machining method, laser machining device and control method of laser machining
US5976327A (en) 1997-12-12 1999-11-02 Applied Materials, Inc. Step coverage and overhang improvement by pedestal bias voltage modulation
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
TW358236B (en) 1997-12-19 1999-05-11 Nanya Technology Corp Improved local silicon oxidization method in the manufacture of semiconductor isolation
JPH11242189A (en) 1997-12-25 1999-09-07 Olympus Optical Co Ltd Method and device for forming image
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6332470B1 (en) 1997-12-30 2001-12-25 Boris Fishkin Aerosol substrate cleaner
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6358672B2 (en) 1998-02-05 2002-03-19 Samsung Electronics Co., Ltd. Method of forming semiconductor device pattern including cross-linking and flow baking a positive photoresist
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6348421B1 (en) 1998-02-06 2002-02-19 National Semiconductor Corporation Dielectric gap fill process that effectively reduces capacitance between narrow metal lines using HDP-CVD
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
KR100260766B1 (en) 1998-02-18 2000-08-01 구본준 The equipment for laser annealing
JPH11307449A (en) 1998-02-20 1999-11-05 Canon Inc Aligner and manufacture of device
US6179277B1 (en) 1998-02-27 2001-01-30 Applied Materials, Inc. Liquid vaporizer systems and methods for their use
JP2975919B2 (en) 1998-02-27 1999-11-10 株式会社半導体プロセス研究所 Underlayer surface modification method and semiconductor device manufacturing method
EP1060287B1 (en) 1998-03-06 2005-01-26 ASM America, Inc. Method of depositing silicon with high step coverage
US5946592A (en) 1998-03-19 1999-08-31 Winbond Electronics, Corp. Combined in-situ high density plasma enhanced chemical vapor deposition (HDPCVD) and chemical mechanical polishing (CMP) process to form an intermetal dielectric layer with a stopper layer embedded therein
JP3080061B2 (en) 1998-03-19 2000-08-21 日本電気株式会社 Method for forming element isolation region of semiconductor device
US5920792A (en) 1998-03-19 1999-07-06 Winbond Electronics Corp High density plasma enhanced chemical vapor deposition process in combination with chemical mechanical polishing process for preparation and planarization of intemetal dielectric layers
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6171917B1 (en) 1998-03-25 2001-01-09 Advanced Micro Devices, Inc. Transistor sidewall spacers composed of silicon nitride CVD deposited from a high density plasma source
US6079353A (en) 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US6203657B1 (en) 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6149987A (en) 1998-04-07 2000-11-21 Applied Materials, Inc. Method for depositing low dielectric constant oxide films
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6019839A (en) 1998-04-17 2000-02-01 Applied Materials, Inc. Method and apparatus for forming an epitaxial titanium silicide film by low pressure chemical vapor deposition
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6109065A (en) 1998-04-22 2000-08-29 Lucent Technologies, Inc. Method of making optical waveguide devices using perchloryl fluoride to make soot
US6016000A (en) 1998-04-22 2000-01-18 Cvc, Inc. Ultra high-speed chip semiconductor integrated circuit interconnect structure and fabrication method using free-space dielectrics
JP4214585B2 (en) 1998-04-24 2009-01-28 富士ゼロックス株式会社 Semiconductor device, semiconductor device manufacturing method and manufacturing apparatus
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US5932283A (en) 1998-05-01 1999-08-03 Nec Corporation Method for fabricating SiO2 film
US6218268B1 (en) 1998-05-05 2001-04-17 Applied Materials, Inc. Two-step borophosphosilicate glass deposition process and related devices and apparatus
US6360685B1 (en) 1998-05-05 2002-03-26 Applied Materials, Inc. Sub-atmospheric chemical vapor deposition system with dopant bypass
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6095643A (en) 1998-05-07 2000-08-01 Lexmark International, Inc. Refillable disposable inkjet cartridge with foam-filled and free ink reservoirs
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
JP3211770B2 (en) 1998-05-11 2001-09-25 日本電気株式会社 Solid-state laser device and solid-state laser amplifier having the same
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
DE69835276T2 (en) 1998-05-22 2007-07-12 Applied Materials, Inc., Santa Clara A method of making a self-planarized dielectric layer for shallow trench isolation
DE19823226A1 (en) 1998-05-25 1999-12-02 Arcmet Technologie Gmbh Linz Tilting arc furnace
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
JPH11354516A (en) 1998-06-08 1999-12-24 Sony Corp Silicon oxide film forming device and method therefor
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6750149B2 (en) 1998-06-12 2004-06-15 Matsushita Electric Industrial Co., Ltd. Method of manufacturing electronic device
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6261857B1 (en) 1998-06-17 2001-07-17 Agere Systems Optoelectronics Guardian Corp. Process for fabricating an optical waveguide
US6106663A (en) 1998-06-19 2000-08-22 Lam Research Corporation Semiconductor process chamber electrode
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP4065468B2 (en) 1998-06-30 2008-03-26 キヤノン株式会社 Exposure apparatus and device manufacturing method using the same
JP3472482B2 (en) 1998-06-30 2003-12-02 富士通株式会社 Semiconductor device manufacturing method and manufacturing apparatus
KR100335525B1 (en) 1998-06-30 2002-05-08 마찌다 가쯔히꼬 Semiconductor device and method for producing the same
KR100405909B1 (en) 1998-07-01 2003-11-14 포톤 다이나믹스, 인코포레이티드 A rapid thermal system for large area
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
KR100538865B1 (en) 1998-07-03 2005-12-23 동경 엘렉트론 주식회사 Single-substrate-heat-processing apparatus and method for performing reformation and crystallization
US6117772A (en) 1998-07-10 2000-09-12 Ball Semiconductor, Inc. Method and apparatus for blanket aluminum CVD on spherical integrated circuits
US6182603B1 (en) 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP4663047B2 (en) 1998-07-13 2011-03-30 株式会社半導体エネルギー研究所 Laser irradiation apparatus and method for manufacturing semiconductor device
US6358323B1 (en) 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
AU5311699A (en) 1998-07-28 2000-02-21 Ce Resources Pte Ltd Optical detection system
JP3172139B2 (en) 1998-08-04 2001-06-04 富士写真フイルム株式会社 Thermal head
US6326325B1 (en) 1998-08-05 2001-12-04 Corning Incorporated Method for fabricating silicon oxynitride
KR100275738B1 (en) 1998-08-07 2000-12-15 윤종용 Method for producing thin film using atomatic layer deposition
US6095085A (en) 1998-08-20 2000-08-01 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
JP3363799B2 (en) 1998-08-28 2003-01-08 キヤノン株式会社 Method of arranging structural part of device and device
US6281124B1 (en) 1998-09-02 2001-08-28 Micron Technology, Inc. Methods and systems for forming metal-containing films on substrates
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
US6248222B1 (en) 1998-09-08 2001-06-19 Acm Research, Inc. Methods and apparatus for holding and positioning semiconductor workpieces during electropolishing and/or electroplating of the workpieces
KR100287180B1 (en) 1998-09-17 2001-04-16 윤종용 Method for manufacturing semiconductor device including metal interconnection formed using interface control layer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6261374B1 (en) 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
KR100327328B1 (en) 1998-10-13 2002-05-09 윤종용 Method for forming dielectric layer of capacitor having partially different thickness in the layer
US6114704A (en) 1998-10-13 2000-09-05 Cymer, Inc. Front-illuminated fluorescent screen for UV imaging
US6239002B1 (en) 1998-10-19 2001-05-29 Taiwan Semiconductor Manufacturing Company Thermal oxidizing method for forming with attenuated surface sensitivity ozone-teos silicon oxide dielectric layer upon a thermally oxidized silicon substrate layer
JP3792417B2 (en) 1998-10-26 2006-07-05 ナブテスコ株式会社 Rotary shaft seal mechanism for vacuum chamber
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6225171B1 (en) 1998-11-16 2001-05-01 Taiwan Semiconductor Manufacturing Company Shallow trench isolation process for reduced for junction leakage
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6355571B1 (en) 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6290865B1 (en) 1998-11-30 2001-09-18 Applied Materials, Inc. Spin-rinse-drying process for electroplated semiconductor wafers
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6911707B2 (en) 1998-12-09 2005-06-28 Advanced Micro Devices, Inc. Ultrathin high-K gate dielectric with favorable interface properties for improved semiconductor device performance
TW445570B (en) 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6190973B1 (en) 1998-12-18 2001-02-20 Zilog Inc. Method of fabricating a high quality thin oxide
KR100368319B1 (en) 1998-12-30 2003-03-17 주식회사 하이닉스반도체 Liquid delivery system
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000299198A (en) 1999-02-10 2000-10-24 Tokyo Electron Ltd Plasma processing device
US6535535B1 (en) 1999-02-12 2003-03-18 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, laser irradiation apparatus, and semiconductor device
US6306265B1 (en) 1999-02-12 2001-10-23 Applied Materials, Inc. High-density plasma for ionized metal deposition capable of exciting a plasma wave
US6305392B1 (en) 1999-02-19 2001-10-23 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid delivery line
US6345642B1 (en) 1999-02-19 2002-02-12 Applied Materials, Inc. Method and apparatus for removing processing liquid from a processing liquid path
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US7192494B2 (en) 1999-03-05 2007-03-20 Applied Materials, Inc. Method and apparatus for annealing copper films
US6393042B1 (en) 1999-03-08 2002-05-21 Semiconductor Energy Laboratory Co., Ltd. Beam homogenizer and laser irradiation apparatus
KR100745495B1 (en) 1999-03-10 2007-08-03 동경 엘렉트론 주식회사 Semiconductor fabrication method and semiconductor fabrication equipment
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6348389B1 (en) 1999-03-11 2002-02-19 Taiwan Semiconductor Manufacturing Company Method of forming and etching a resist protect oxide layer including end-point etch
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6114253A (en) 1999-03-15 2000-09-05 Taiwan Semiconductor Manufacturing Company Via patterning for poly(arylene ether) used as an inter-metal dielectric
US6279400B1 (en) 1999-03-16 2001-08-28 General Electric Company Apparatus and method for measuring and selectively adjusting a clearance
US6218090B1 (en) 1999-03-17 2001-04-17 Electron Vision Corporation Method of creating controlled discontinuity between photoresist and substrate for improving metal lift off
US6150070A (en) 1999-03-17 2000-11-21 Alliedsignal Inc. Method of creating optimal profile in single layer photoresist
US6207555B1 (en) 1999-03-17 2001-03-27 Electron Vision Corporation Electron beam process during dual damascene processing
US6255035B1 (en) 1999-03-17 2001-07-03 Electron Vision Corporation Method of creating optimal photoresist structures used in the manufacture of metal T-gates for high-speed semiconductor devices
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
JP3595853B2 (en) 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 Plasma CVD film forming equipment
US6080683A (en) 1999-03-22 2000-06-27 Special Materials Research And Technology, Inc. Room temperature wet chemical growth process of SiO based oxides on silicon
US6195246B1 (en) 1999-03-30 2001-02-27 Electron Vision Corporation Electrostatic chuck having replaceable dielectric cover
JP2000290777A (en) 1999-04-07 2000-10-17 Tokyo Electron Ltd Gas treating device, buffle member, and gas treating method
JP4249843B2 (en) 1999-04-12 2009-04-08 憲一 高木 Plasma processing equipment
US6218057B1 (en) 1999-04-16 2001-04-17 Lucent Technologies Inc. Lithographic process having sub-wavelength resolution
US6150209A (en) 1999-04-23 2000-11-21 Taiwan Semiconductor Manufacturing Company Leakage current reduction of a tantalum oxide layer via a nitrous oxide high density annealing procedure
JP2001024194A (en) 1999-05-06 2001-01-26 Toshiba Corp Semiconductor device and manufacture thereof
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
JP3099066B1 (en) 1999-05-07 2000-10-16 東京工業大学長 Manufacturing method of thin film structure
KR100319620B1 (en) 1999-05-10 2002-01-05 김영환 Isolation structure for semiconductor device and fabricating method thereof
US6179925B1 (en) 1999-05-14 2001-01-30 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in substrate processing system
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6252665B1 (en) 1999-05-20 2001-06-26 California Institute Of Technology Lithography using quantum entangled particles
JP3306592B2 (en) 1999-05-21 2002-07-24 株式会社豊田中央研究所 Microstrip array antenna
US6026053A (en) 1999-05-21 2000-02-15 The United States Of America As Represented By The Director Of The National Security Agency Photorefractive read-only optical memory apparatus using phase, frequency, and angular modulation
US6305531B1 (en) 1999-05-25 2001-10-23 Michael A. Wilkman Reduced cost impregnated wipes
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6240874B1 (en) 1999-05-27 2001-06-05 Advanced Micro Devices, Inc. Integrated edge exposure and hot/cool plate for a wafer track system
US6221791B1 (en) 1999-06-02 2001-04-24 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for oxidizing silicon substrates
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6551926B1 (en) 1999-06-09 2003-04-22 Electron Vision Corporation Electron beam annealing of metals, alloys, nitrides and silicides
US6489225B1 (en) 1999-06-11 2002-12-03 Electron Vision Corporation Method for controlling dopant profiles and dopant activation by electron beam processing
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6319655B1 (en) 1999-06-11 2001-11-20 Electron Vision Corporation Modification of 193 nm sensitive photoresist materials by electron beam exposure
US6709715B1 (en) 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6528752B1 (en) 1999-06-18 2003-03-04 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US6255207B1 (en) 1999-06-21 2001-07-03 Taiwan Semiconductor Manufacturing Company Composite planarizing dielectric layer employing high density plasma chemical vapor deposited (HDP-CVD) underlayer
US6413871B2 (en) 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
US6355108B1 (en) 1999-06-22 2002-03-12 Applied Komatsu Technology, Inc. Film deposition using a finger type shadow frame
US6812157B1 (en) 1999-06-24 2004-11-02 Prasad Narhar Gadgil Apparatus for atomic layer chemical vapor deposition
US6423384B1 (en) 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6223540B1 (en) 1999-06-25 2001-05-01 Applied Materials, Inc. Gas processing techniques
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6151446A (en) 1999-07-06 2000-11-21 Applied Materials, Inc. Apparatus and method for thermally processing substrates including a processor using multiple detection signals
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6465044B1 (en) 1999-07-09 2002-10-15 Silicon Valley Group, Thermal Systems Llp Chemical vapor deposition of silicon oxide films using alkylsiloxane oligomers with ozone
WO2001003858A1 (en) 1999-07-12 2001-01-18 Asml Us, Inc. Method and system for in situ cleaning of semiconductor manufacturing equipment using combination chemistries
US6171901B1 (en) 1999-07-16 2001-01-09 National Semiconductor Corporation Process for forming silicided capacitor utilizing oxidation barrier layer
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6340556B1 (en) 1999-08-04 2002-01-22 Electron Vision Corporation Tailoring of linewidth through electron beam post exposure
US6174808B1 (en) 1999-08-04 2001-01-16 Taiwan Semiconductor Manufacturing Company Intermetal dielectric using HDP-CVD oxide and SACVD O3-TEOS
US6083822A (en) 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
US6512264B1 (en) 1999-08-13 2003-01-28 Advanced Micro Devices, Inc. Flash memory having pre-interpoly dielectric treatment layer and method of forming
US6567219B1 (en) 1999-08-13 2003-05-20 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US6875558B1 (en) 1999-08-16 2005-04-05 Applied Materials, Inc. Integration scheme using self-planarized dielectric layer for shallow trench isolation (STI)
ATE414326T1 (en) 1999-08-17 2008-11-15 Applied Materials Inc METHOD AND APPARATUS FOR IMPROVING THE PROPERTIES OF A LOW-K SI-O-C FILM
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6673216B2 (en) 1999-08-31 2004-01-06 Semitool, Inc. Apparatus for providing electrical and fluid communication to a rotating microelectronic workpiece during electrochemical processing
US6140701A (en) 1999-08-31 2000-10-31 Micron Technology, Inc. Suppression of hillock formation in thin aluminum films
US6410457B1 (en) 1999-09-01 2002-06-25 Applied Materials, Inc. Method for improving barrier layer adhesion to HDP-FSG thin films
KR100682190B1 (en) 1999-09-07 2007-02-12 동경 엘렉트론 주식회사 Method and apparatus for forming insulating film containing silicon oxy-nitride
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP3514186B2 (en) 1999-09-16 2004-03-31 日新電機株式会社 Thin film forming method and apparatus
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US6211040B1 (en) 1999-09-20 2001-04-03 Chartered Semiconductor Manufacturing Ltd. Two-step, low argon, HDP CVD oxide deposition process
US6475284B1 (en) 1999-09-20 2002-11-05 Moore Epitaxial, Inc. Gas dispersion head
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
KR100477146B1 (en) 1999-09-28 2005-03-17 스미도모쥬기가이고교 가부시키가이샤 Laser drilling method and laser drilling device
US6796148B1 (en) 1999-09-30 2004-09-28 Corning Incorporated Deep UV laser internally induced densification in silica glasses
US6593653B2 (en) 1999-09-30 2003-07-15 Novellus Systems, Inc. Low leakage current silicon carbonitride prepared using methane, ammonia and silane for copper diffusion barrier, etchstop and passivation applications
US6271146B1 (en) 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6407399B1 (en) 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6418960B1 (en) 1999-10-06 2002-07-16 Applied Materials, Inc. Ultrasonic enhancement for solvent purge of a liquid delivery system
US6274500B1 (en) 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US6268274B1 (en) 1999-10-14 2001-07-31 Taiwan Semiconductor Manufacturing Company Low temperature process for forming inter-metal gap-filling insulating layers in silicon wafer integrated circuitry
FI117942B (en) 1999-10-14 2007-04-30 Asm Int Process for making oxide thin films
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6248628B1 (en) 1999-10-25 2001-06-19 Advanced Micro Devices Method of fabricating an ONO dielectric by nitridation for MNOS memory cells
DE60025872T2 (en) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Soluble silicone resin compositions
US6514339B1 (en) 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
JP4562835B2 (en) 1999-11-05 2010-10-13 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
KR100343286B1 (en) 1999-11-05 2002-07-15 윤종용 Method for processing defect source of wafer rim
US6682659B1 (en) 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
US6882477B1 (en) 1999-11-10 2005-04-19 Massachusetts Institute Of Technology Method and system for interference lithography utilizing phase-locked scanning beams
JP3366301B2 (en) 1999-11-10 2003-01-14 日本電気株式会社 Plasma CVD equipment
US6408125B1 (en) 1999-11-10 2002-06-18 Corning Incorporated Germanium silicon oxynitride high index films for planar waveguides
JP2001144325A (en) 1999-11-12 2001-05-25 Sony Corp Method of manufacturing nitride iii-v compound semiconductor and semiconductor device
KR100338771B1 (en) 1999-11-12 2002-05-30 윤종용 Simplified trench isolation method comprising hydrogen annealing step
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (en) 1999-12-03 2008-03-31 Asm Int Process for making oxide films
US6583069B1 (en) 1999-12-13 2003-06-24 Chartered Semiconductor Manufacturing Co., Ltd. Method of silicon oxide and silicon glass films deposition
US6426015B1 (en) 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US20010025607A1 (en) 1999-12-22 2001-10-04 Tony Lebar Microwave plasma reactor and method
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
JP2001185503A (en) 1999-12-24 2001-07-06 Nec Corp Semiconductor thin film modifying device
WO2001048800A1 (en) 1999-12-24 2001-07-05 Ebara Corporation Semiconductor wafer processing apparatus and processing method
US6358670B1 (en) 1999-12-28 2002-03-19 Electron Vision Corporation Enhancement of photoresist plasma etch resistance via electron beam surface cure
US6426127B1 (en) 1999-12-28 2002-07-30 Electron Vision Corporation Electron beam modification of perhydrosilazane spin-on glass
US6331494B1 (en) 1999-12-30 2001-12-18 Novellus Systems, Inc. Deposition of low dielectric constant thin film without use of an oxidizer
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
KR100767762B1 (en) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 A CVD semiconductor-processing device provided with a remote plasma source for self cleaning
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
US6500771B1 (en) 2000-01-31 2002-12-31 Chartered Semiconductor Manufacturing Ltd. Method of high-density plasma boron-containing silicate glass film deposition
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
AU2001245287A1 (en) 2000-02-17 2001-09-12 Aleph Lightgale Corporation Fiber-ring optical resonators
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6492283B2 (en) 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
US6355581B1 (en) 2000-02-23 2002-03-12 Chartered Semiconductor Manufacturing Ltd. Gas-phase additives for an enhancement of lateral etch component during high density plasma film deposition to improve film gap-fill capability
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
JP3368265B2 (en) 2000-03-02 2003-01-20 キヤノン株式会社 Exposure method, exposure apparatus, and device manufacturing method
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
TW473917B (en) 2000-03-07 2002-01-21 United Microelectronics Corp Step-like structure of silicon on insulation (SOI)
US6444039B1 (en) 2000-03-07 2002-09-03 Simplus Systems Corporation Three-dimensional showerhead apparatus
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
FR2806076B1 (en) 2000-03-08 2002-09-20 Saint Gobain Vitrage TRANSPARENT SUBSTRATE COATED WITH A POLYMER LAYER
EP1265279B1 (en) 2000-03-13 2009-10-14 OHMI, Tadahiro Method of fabricating a flash memory device
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6426289B1 (en) 2000-03-24 2002-07-30 Micron Technology, Inc. Method of fabricating a barrier layer associated with a conductor layer in damascene structures
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
WO2001073159A1 (en) 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Method for forming metallic film and apparatus for forming the same
EP1139404A1 (en) 2000-03-31 2001-10-04 Applied Materials, Inc. Low thermal budget solution for PMD application using SACVD layer
ATE356535T1 (en) 2000-04-04 2007-03-15 Dek Int Gmbh METHOD AND DEVICE FOR APPLYING VISCOUS OR PASTY MATERIAL TO A SUBSTRATE
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US7011710B2 (en) 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US20020081842A1 (en) 2000-04-14 2002-06-27 Sambucetti Carlos J. Electroless metal liner formation methods
KR100363088B1 (en) 2000-04-20 2002-12-02 삼성전자 주식회사 Method of manufacturing barrier metal layer using atomic layer deposition method
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6337467B1 (en) 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
US20020192396A1 (en) 2000-05-11 2002-12-19 Shulin Wang Method of titanium/titanium nitride integration
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
US6433911B1 (en) 2000-05-19 2002-08-13 Massachusetts Institute Of Technology Frustrated total internal reflection-based micro-opto-electro-mechanical modulator/demodulator
US6511923B1 (en) 2000-05-19 2003-01-28 Applied Materials, Inc. Deposition of stable dielectric films
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP2001345273A (en) 2000-05-31 2001-12-14 Canon Inc Formation method of silicon-based thin film, silicon-based thin film, and photovoltaic element
US6291367B1 (en) 2000-06-01 2001-09-18 Atmel Corporation Method for depositing a selected thickness of an interlevel dielectric material to achieve optimum global planarity on a semiconductor wafer
US6184155B1 (en) 2000-06-19 2001-02-06 Taiwan Semiconductor Manufacturing Company Method for forming a ultra-thin gate insulator layer
KR100332314B1 (en) 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
JP4371543B2 (en) 2000-06-29 2009-11-25 日本電気株式会社 Remote plasma CVD apparatus and film forming method
TW533489B (en) 2000-06-30 2003-05-21 Hitachi Ltd Semiconductor device and production method thereof
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US6583025B2 (en) 2000-07-10 2003-06-24 Samsung Electronics Co., Ltd. Method of forming a trench isolation structure comprising annealing the oxidation barrier layer thereof in a furnace
US6620296B2 (en) 2000-07-17 2003-09-16 Applied Materials, Inc. Target sidewall design to reduce particle generation during magnetron sputtering
KR100444149B1 (en) 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
US6368948B1 (en) 2000-07-26 2002-04-09 Advanced Micro Devices, Inc. Method of forming capped copper interconnects with reduced hillocks
JP4556302B2 (en) 2000-07-27 2010-10-06 ソニー株式会社 Thin film transistor manufacturing system and method, polysilicon evaluation method and polysilicon inspection apparatus
US6541401B1 (en) 2000-07-31 2003-04-01 Applied Materials, Inc. Wafer pretreatment to decrease rate of silicon dioxide deposition on silicon nitride compared to silicon substrate
US6450117B1 (en) 2000-08-07 2002-09-17 Applied Materials, Inc. Directing a flow of gas in a substrate processing chamber
KR100458982B1 (en) 2000-08-09 2004-12-03 주성엔지니어링(주) Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same
US6939434B2 (en) 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6413886B1 (en) 2000-08-10 2002-07-02 Infineon Technologies Ag Method for fabricating a microtechnical structure
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6468853B1 (en) 2000-08-18 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method of fabricating a shallow trench isolation structure with reduced local oxide recess near corner
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
KR20030074591A (en) 2000-08-28 2003-09-19 어플라이드 머티어리얼스, 인코포레이티드 Pre-polycoating of glass substrates
US6489254B1 (en) 2000-08-29 2002-12-03 Atmel Corporation Method of forming pre-metal dielectric film on a semiconductor substrate including first layer of undoped oxide of high ozone:TEOS volume ratio and second layer of low ozone doped BPSG
DE10042733A1 (en) 2000-08-31 2002-03-28 Inst Physikalische Hochtech Ev Multicrystalline laser-crystallized silicon thin-film solar cell on a transparent substrate
US6682969B1 (en) 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
AU2001290937A1 (en) 2000-09-13 2002-04-02 Massachusetts Institute Of Technology Method of design and fabrication of integrated circuits using regular arrays and gratings
US6706634B1 (en) 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
US6556280B1 (en) 2000-09-19 2003-04-29 Optical Switch Corporation Period reconfiguration and closed loop calibration of an interference lithography patterning system and method of operation
JP4232330B2 (en) 2000-09-22 2009-03-04 東京エレクトロン株式会社 Excited gas forming apparatus, processing apparatus and processing method
US7067176B2 (en) 2000-10-03 2006-06-27 Cree, Inc. Method of fabricating an oxide layer on a silicon carbide layer utilizing an anneal in a hydrogen environment
JP4312365B2 (en) 2000-10-11 2009-08-12 株式会社クラレ Method for producing transparent plastic linear body
US6479405B2 (en) 2000-10-12 2002-11-12 Samsung Electronics Co., Ltd. Method of forming silicon oxide layer in semiconductor manufacturing process using spin-on glass composition and isolation method using the same method
US7270886B2 (en) 2000-10-12 2007-09-18 Samsung Electronics Co., Ltd. Spin-on glass composition and method of forming silicon oxide layer in semiconductor manufacturing process using the same
JP2002187231A (en) 2000-10-13 2002-07-02 Dainippon Printing Co Ltd Film having barrier properties and its manufacturing method
KR100378186B1 (en) 2000-10-19 2003-03-29 삼성전자주식회사 Semiconductor device adopting thin film formed by atomic layer deposition and fabrication method thereof
US7253124B2 (en) 2000-10-20 2007-08-07 Texas Instruments Incorporated Process for defect reduction in electrochemical plating
JP3712356B2 (en) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド Film-forming method and semiconductor device manufacturing method
US6441491B1 (en) 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6573181B1 (en) 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
US6319772B1 (en) 2000-10-30 2001-11-20 Chartered Semiconductor Manufacturing Ltd. Method for making low-leakage DRAM structures using selective silicon epitaxial growth (SEG) on an insulating layer
TW479315B (en) 2000-10-31 2002-03-11 Applied Materials Inc Continuous depostiton process
US6348410B1 (en) 2000-11-02 2002-02-19 Advanced Micro Devices, Inc. Low temperature hillock suppression method in integrated circuit interconnects
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US7115954B2 (en) 2000-11-22 2006-10-03 Renesas Technology Corp. Semiconductor device including stress inducing films formed over n-channel and p-channel field effect transistors and a method of manufacturing the same
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US20020098712A1 (en) 2000-11-30 2002-07-25 Jaideep Mavoori Multi-thickness oxide growth with in-situ scanned laser heating
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
AU2002225761A1 (en) 2000-11-30 2002-06-11 Asm America, Inc. Thin films for magnetic devices
US6689221B2 (en) 2000-12-04 2004-02-10 Applied Materials, Inc. Cooling gas delivery system for a rotatable semiconductor substrate support assembly
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (en) 2000-12-06 2003-06-02 삼성전자주식회사 Method of forming thin film by atomic layer deposition
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6503050B2 (en) 2000-12-18 2003-01-07 Applied Materials Inc. Turbo-molecular pump having enhanced pumping capacity
KR20020049875A (en) 2000-12-20 2002-06-26 윤종용 Ferroelectric capacitor in semiconductor memory device and method for manufacturing the same
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6479098B1 (en) 2000-12-26 2002-11-12 Taiwan Semiconductor Manufacturing Company Method to solve particle performance of FSG layer by using UFU season film for FSG process
JP3914386B2 (en) 2000-12-28 2007-05-16 株式会社ルネサステクノロジ Photomask, manufacturing method thereof, pattern forming method, and manufacturing method of semiconductor device
KR100393208B1 (en) 2001-01-15 2003-07-31 삼성전자주식회사 Semiconductor device using doped polycrystalline silicon-germanium layer and method for manufacturing the same
WO2002084702A2 (en) 2001-01-16 2002-10-24 Lampkin Curtis M Sputtering deposition apparatus and method for depositing surface films
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6809012B2 (en) 2001-01-18 2004-10-26 Semiconductor Energy Laboratory Co., Ltd. Method of making a thin film transistor using laser annealing
JP4791637B2 (en) 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 CVD apparatus and processing method using the same
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US7345342B2 (en) 2001-01-30 2008-03-18 Fairchild Semiconductor Corporation Power semiconductor devices and methods of manufacture
JP3768819B2 (en) 2001-01-31 2006-04-19 株式会社ルネサステクノロジ Manufacturing method of semiconductor device
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
KR100408733B1 (en) 2001-02-02 2003-12-11 주성엔지니어링(주) Thin Film Deposition Method
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US6696362B2 (en) 2001-02-08 2004-02-24 Applied Materials Inc. Method for using an in situ particle sensor for monitoring particle performance in plasma deposition processes
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
KR101050377B1 (en) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 Improved process for deposition of semiconductor films
KR100364026B1 (en) 2001-02-22 2002-12-11 삼성전자 주식회사 Method for forming inter layer dielectric film
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6522433B2 (en) 2001-02-28 2003-02-18 Optical Switch Corporation Interference lithography using holey fibers
US6641268B2 (en) 2001-02-28 2003-11-04 Massachusetts Institute Of Technology Interferometric projection system
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP2002270685A (en) 2001-03-08 2002-09-20 Mitsubishi Electric Corp Manufacturing method for semiconductor device
US6649907B2 (en) 2001-03-08 2003-11-18 Wisconsin Alumni Research Foundation Charge reduction electrospray ionization ion source
JP3990920B2 (en) 2001-03-13 2007-10-17 東京エレクトロン株式会社 Film forming method and film forming apparatus
KR100897771B1 (en) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 Film forming method and film forming apparatus
US6584987B1 (en) 2001-03-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Method for improved cleaning in HDP-CVD process with reduced NF3 usage
CN1302152C (en) 2001-03-19 2007-02-28 株式会社Ips Chemical vapor depositing apparatus
KR20030093270A (en) 2001-03-23 2003-12-06 다우 코닝 코포레이션 Method for producing hydrogenated silicon oxycarbide films
US6365518B1 (en) 2001-03-26 2002-04-02 Applied Materials, Inc. Method of processing a substrate in a processing chamber
US6511922B2 (en) 2001-03-26 2003-01-28 Applied Materials, Inc. Methods and apparatus for producing stable low k FSG film for HDP-CVD
JP5013353B2 (en) 2001-03-28 2012-08-29 隆 杉野 Film forming method and film forming apparatus
US6482688B2 (en) 2001-03-30 2002-11-19 Texas Instruments Incorporated Utilizing amorphorization of polycrystalline structures to achieve T-shaped MOSFET gate
US6812101B2 (en) 2001-04-02 2004-11-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for manufacture thereof
US6561498B2 (en) 2001-04-09 2003-05-13 Lorex Industries, Inc. Bubbler for use in vapor generation systems
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
JP2003084066A (en) 2001-04-11 2003-03-19 Nippon Kessho Kogaku Kk Component for radiation detector, radiation detector, and radiation-detection unit
US20020182342A1 (en) 2001-04-13 2002-12-05 Luc Ouellet Optical quality silica films
WO2002086954A1 (en) 2001-04-19 2002-10-31 The Trustee Of Columbia University In The City Of New York Method and system for providing a single-scan, continuous motion sequential lateral solidification
FR2824062B1 (en) 2001-04-27 2004-10-15 Atofina PROCESS FOR PRODUCING AQUEOUS SOLUTIONS OF QUATERNARY AMMONIUM UNSATURATED SALTS
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6528412B1 (en) 2001-04-30 2003-03-04 Advanced Micro Devices, Inc. Depositing an adhesion skin layer and a conformal seed layer to fill an interconnect opening
US6528430B2 (en) 2001-05-01 2003-03-04 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3
US6391777B1 (en) 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6624091B2 (en) 2001-05-07 2003-09-23 Applied Materials, Inc. Methods of forming gap fill and layers formed thereby
US6902947B2 (en) 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
US20020168828A1 (en) 2001-05-10 2002-11-14 Kuan-Lun Cheng Method of reducing threshold voltage shifting of a gate
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US20020168840A1 (en) 2001-05-11 2002-11-14 Applied Materials, Inc. Deposition of tungsten silicide films
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US20020170487A1 (en) 2001-05-18 2002-11-21 Raanan Zehavi Pre-coated silicon fixtures used in a high temperature process
DE10222083B4 (en) 2001-05-18 2010-09-23 Samsung Electronics Co., Ltd., Suwon Isolation method for a semiconductor device
JP2002343790A (en) 2001-05-21 2002-11-29 Nec Corp Vapor-phase deposition method of metallic compound thin film and method for manufacturing semiconductor device
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6770134B2 (en) 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
TWI307912B (en) 2001-05-30 2009-03-21 Asm Inc Low temperature load and bake
US6887514B2 (en) 2001-05-31 2005-05-03 Dalsa Semiconductor Inc. Method of depositing optical films
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6705124B2 (en) 2001-06-04 2004-03-16 Lightwave Microsystems Corporation High-density plasma deposition process for fabricating a top clad for planar lightwave circuit devices
US20020182893A1 (en) 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US20020185067A1 (en) 2001-06-07 2002-12-12 International Business Machines Corporation Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US6902623B2 (en) 2001-06-07 2005-06-07 Veeco Instruments Inc. Reactor having a movable shutter
US6506291B2 (en) 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
KR20020095842A (en) 2001-06-16 2002-12-28 삼성전자 주식회사 Ashing apparatus of semiconductor
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6936842B2 (en) 2001-06-27 2005-08-30 Applied Materials, Inc. Method and apparatus for process monitoring
US6812134B1 (en) 2001-06-28 2004-11-02 Lsi Logic Corporation Dual layer barrier film techniques to prevent resist poisoning
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
JP2003017556A (en) 2001-06-29 2003-01-17 Mitsubishi Electric Corp Semiconductor device and method of manufacturing same
KR100403630B1 (en) 2001-07-07 2003-10-30 삼성전자주식회사 Method for forming inter-layer dielectric film of semiconductor device by HDP CVD
US6614977B2 (en) 2001-07-12 2003-09-02 Little Optics, Inc. Use of deuterated gases for the vapor deposition of thin films for low-loss optical devices and waveguides
KR100421046B1 (en) 2001-07-13 2004-03-04 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US6531377B2 (en) 2001-07-13 2003-03-11 Infineon Technologies Ag Method for high aspect ratio gap fill using sequential HDP-CVD
US6444551B1 (en) 2001-07-23 2002-09-03 Taiwan Semiconductor Manufacturing Company N-type buried layer drive-in recipe to reduce pits over buried antimony layer
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
CA2454570C (en) 2001-07-25 2016-12-20 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
JP4219628B2 (en) 2001-07-27 2009-02-04 東京エレクトロン株式会社 Plasma processing apparatus and substrate mounting table
US6602792B2 (en) 2001-08-02 2003-08-05 Macronix International Co., Ltd. Method for reducing stress of sidewall oxide layer of shallow trench isolation
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US7138336B2 (en) 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (en) 2001-08-29 2004-04-30 삼성전자주식회사 Sti type semiconductor device and method of forming the same
JP4049214B2 (en) 2001-08-30 2008-02-20 東京エレクトロン株式会社 Insulating film forming method and insulating film forming apparatus
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
JP2003086604A (en) 2001-09-10 2003-03-20 Advanced Lcd Technologies Development Center Co Ltd Thin film semiconductor device, substrate and manufacturing method therefor
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
US6541370B1 (en) 2001-09-17 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Composite microelectronic dielectric layer with inhibited crack susceptibility
US20030059535A1 (en) 2001-09-25 2003-03-27 Lee Luo Cycling deposition of low temperature films in a cold wall single wafer process chamber
US6868800B2 (en) 2001-09-28 2005-03-22 Tokyo Electron Limited Branching RF antennas and plasma processing apparatus
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US6716740B2 (en) 2001-10-09 2004-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for depositing silicon oxide incorporating an outgassing step
US20030070451A1 (en) 2001-10-11 2003-04-17 Luc Ouellet Method of reducing stress-induced mechanical problems in optical components
US6803330B2 (en) 2001-10-12 2004-10-12 Cypress Semiconductor Corporation Method for growing ultra thin nitrided oxide
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
DE10150822B4 (en) 2001-10-15 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Method for removing oxidized areas on a surface of a metal surface
US6720263B2 (en) 2001-10-16 2004-04-13 Applied Materials Inc. Planarization of metal layers on a semiconductor wafer through non-contact de-plating and control with endpoint detection
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6734101B1 (en) 2001-10-31 2004-05-11 Taiwan Semiconductor Manufacturing Company Solution to the problem of copper hillocks
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
CN1791839A (en) 2001-11-07 2006-06-21 应用材料有限公司 Optical spot grid array printer
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6634650B2 (en) 2001-11-16 2003-10-21 Applied Materials, Inc. Rotary vacuum-chuck with water-assisted labyrinth seal
KR100422597B1 (en) 2001-11-27 2004-03-16 주식회사 하이닉스반도체 Method of forming semiconductor device with capacitor and metal-interconnection in damascene process
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030110808A1 (en) 2001-12-14 2003-06-19 Applied Materials Inc., A Delaware Corporation Method of manufacturing an optical core
US20030113085A1 (en) 2001-12-14 2003-06-19 Applied Materials, Inc., A Delaware Corporation HDP-CVD film for uppercladding application in optical waveguides
US6586886B1 (en) 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
JP3891267B2 (en) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 Silicon oxide film manufacturing method
US6696332B2 (en) 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6713127B2 (en) 2001-12-28 2004-03-30 Applied Materials, Inc. Methods for silicon oxide and oxynitride deposition using single wafer low pressure CVD
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
US6773142B2 (en) 2002-01-07 2004-08-10 Coherent, Inc. Apparatus for projecting a line of light from a diode-laser array
JP3912111B2 (en) 2002-01-09 2007-05-09 富士通株式会社 Wavelength multiplexing bidirectional optical transmission module
US6868207B2 (en) 2002-01-10 2005-03-15 Corona Optical Systems, Inc. Method to diffract and attenuate an optical signal
JP2003204063A (en) 2002-01-10 2003-07-18 Toshiba Corp Semiconductor device and its manufacturing method
US6618537B2 (en) 2002-01-14 2003-09-09 Applied Wdm, Inc. Optical waveguide structures and methods of fabrication
EP1466034A1 (en) 2002-01-17 2004-10-13 Sundew Technologies, LLC Ald apparatus and method
US6723770B2 (en) 2002-01-18 2004-04-20 Sanyo Electric Co., Ltd. Method of producing organic-inorganic composite material and organic-inorganic composite material
JP3778432B2 (en) 2002-01-23 2006-05-24 東京エレクトロン株式会社 Substrate processing method and apparatus, and semiconductor device manufacturing apparatus
US6869880B2 (en) 2002-01-24 2005-03-22 Applied Materials, Inc. In situ application of etch back for improved deposition into high-aspect-ratio features
US6793733B2 (en) 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US20040060514A1 (en) 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6669829B2 (en) 2002-02-20 2003-12-30 Applied Materials, Inc. Shutter disk and blade alignment sensor
US7122281B2 (en) 2002-02-26 2006-10-17 Synopsys, Inc. Critical dimension control using full phase and trim masks
US6787481B2 (en) 2002-02-28 2004-09-07 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device
JP2005519323A (en) 2002-03-01 2005-06-30 ローズマウント インコーポレイテッド Optical switch having a three-dimensional light guide plate
US7651821B2 (en) 2002-03-04 2010-01-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
US6884551B2 (en) 2002-03-04 2005-04-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
US6783629B2 (en) 2002-03-11 2004-08-31 Yuri Glukhoy Plasma treatment apparatus with improved uniformity of treatment and method for improving uniformity of plasma treatment
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030183518A1 (en) 2002-03-27 2003-10-02 Glocker David A. Concave sputtering apparatus
DE10214065B4 (en) 2002-03-28 2006-07-06 Advanced Micro Devices, Inc., Sunnyvale A method of making an improved metal silicide region in a silicon-containing conductive region in an integrated circuit
JP3937892B2 (en) 2002-04-01 2007-06-27 日本電気株式会社 Thin film forming method and semiconductor device manufacturing method
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20030197831A1 (en) 2002-04-12 2003-10-23 Kim Hyoung Sik Lens fastening device for frameless spectacles
JP3868324B2 (en) 2002-04-15 2007-01-17 三菱電機株式会社 Silicon nitride film forming method, film forming apparatus, and semiconductor device manufacturing method
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
US8288239B2 (en) 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
WO2003090268A1 (en) 2002-04-19 2003-10-30 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (en) 2002-04-25 2005-01-29 삼성전자주식회사 Method for Atomic Layer Deposition of silicon oxide film using HCD source
US6777336B2 (en) 2002-04-29 2004-08-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a shallow trench isolation structure
US6812153B2 (en) 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US7008872B2 (en) 2002-05-03 2006-03-07 Intel Corporation Use of conductive electrolessly deposited etch stop layers, liner layers and via plugs in interconnect structures
US6908862B2 (en) 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
JP2003324072A (en) 2002-05-07 2003-11-14 Nec Electronics Corp Semiconductor manufacturing equipment
US6875624B2 (en) 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6709553B2 (en) 2002-05-09 2004-03-23 Applied Materials, Inc. Multiple-step sputter deposition
US6777308B2 (en) 2002-05-17 2004-08-17 Micron Technology, Inc. Method of improving HDP fill process
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US20030217693A1 (en) 2002-05-22 2003-11-27 Applied Materials, Inc. Substrate support assembly having an edge protector
US6653203B1 (en) 2002-05-23 2003-11-25 Taiwan Semiconductor Manufacturing Company Thin sidewall multi-step HDP deposition method to achieve completely filled high aspect ratio trenches
DE10223954A1 (en) 2002-05-29 2003-12-11 Infineon Technologies Ag Plasma-excited chemical vapor deposition process for the deposition of silicon nitride or silicon oxynitride, process for producing a layer arrangement and layer arrangement
US6789789B2 (en) 2002-05-29 2004-09-14 Veeco Instruments Inc. High throughput vaporizer
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
KR100469126B1 (en) 2002-06-05 2005-01-29 삼성전자주식회사 Method of forming a thin film with a low hydrogen contents
JP2004012315A (en) 2002-06-07 2004-01-15 Toshiba Ceramics Co Ltd Methods of measuring impurity concentration distribution in silicon carbide material or silicon nitride material, and impurity concentration distribution in ceramics
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US6759100B2 (en) 2002-06-10 2004-07-06 Konica Corporation Layer formation method, and substrate with a layer formed by the method
US20040206621A1 (en) 2002-06-11 2004-10-21 Hongwen Li Integrated equipment set for forming a low K dielectric interconnect on a substrate
US20030230385A1 (en) 2002-06-13 2003-12-18 Applied Materials, Inc. Electro-magnetic configuration for uniformity enhancement in a dual chamber plasma processing system
US7247252B2 (en) 2002-06-20 2007-07-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of avoiding plasma arcing during RIE etching
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6807662B2 (en) 2002-07-09 2004-10-19 Mentor Graphics Corporation Performance of integrated circuit components via a multiple exposure technique
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
JP2004055600A (en) 2002-07-16 2004-02-19 Tokyo Electron Ltd Plasma processing apparatus
TWI235433B (en) 2002-07-17 2005-07-01 Tokyo Electron Ltd Oxide film forming method, oxide film forming apparatus and electronic device material
US7294582B2 (en) 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
AU2003302222A1 (en) 2002-07-22 2004-06-30 Massachusetts Institute Of Technolgoy Porous material formation by chemical vapor deposition onto colloidal crystal templates
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6835633B2 (en) 2002-07-24 2004-12-28 International Business Machines Corporation SOI wafers with 30-100 Å buried oxide (BOX) created by wafer bonding using 30-100 Å thin oxide as bonding layer
US7018555B2 (en) 2002-07-26 2006-03-28 Dainippon Screen Mfg. Co., Ltd. Substrate treatment method and substrate treatment apparatus
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
KR100464852B1 (en) 2002-08-07 2005-01-05 삼성전자주식회사 Method of forming gate oxide layer in semiconductor device
US7399500B2 (en) 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6589611B1 (en) 2002-08-22 2003-07-08 Micron Technology, Inc. Deposition and chamber treatment methods
US6870982B1 (en) 2002-08-23 2005-03-22 Cypress Semiconductor Corporation Cascading optical switch three dimensional switch fabric system and method
JP2004095889A (en) 2002-08-30 2004-03-25 Fasl Japan Ltd Semiconductor storage device and its manufacturing method
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US7223701B2 (en) 2002-09-06 2007-05-29 Intel Corporation In-situ sequential high density plasma deposition and etch processing for gap fill
US20040045577A1 (en) 2002-09-10 2004-03-11 Bing Ji Cleaning of processing chambers with dilute NF3 plasmas
US20060208634A1 (en) 2002-09-11 2006-09-21 General Electric Company Diffusion barrier coatings having graded compositions and devices incorporating the same
US20040229051A1 (en) 2003-05-15 2004-11-18 General Electric Company Multilayer coating package on flexible substrates for electro-optical devices
KR100459724B1 (en) 2002-09-11 2004-12-03 삼성전자주식회사 Semiconductor device having a SiN etch stopper by low temperature ALD and fabricating method the same
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040052969A1 (en) 2002-09-16 2004-03-18 Applied Materials, Inc. Methods for operating a chemical vapor deposition chamber using a heated gas distribution plate
US6946033B2 (en) 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US6905940B2 (en) 2002-09-19 2005-06-14 Applied Materials, Inc. Method using TEOS ramp-up during TEOS/ozone CVD for improved gap-fill
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6767824B2 (en) 2002-09-23 2004-07-27 Padmapani C. Nallan Method of fabricating a gate structure of a field effect transistor using an alpha-carbon mask
JP4358492B2 (en) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for producing silicon nitride film or silicon oxynitride film by thermal chemical vapor deposition
US7005235B2 (en) 2002-12-04 2006-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method and systems to print contact hole patterns
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
DE10250889B4 (en) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale An improved SiC barrier layer for a low-k dielectric, metallization layer and method of making the same
US6853142B2 (en) 2002-11-04 2005-02-08 Zond, Inc. Methods and apparatus for generating high-density plasma
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
KR200302418Y1 (en) 2002-11-08 2003-02-05 박준영 Fastener Set for Lightning Plate.
US6936981B2 (en) 2002-11-08 2005-08-30 Applied Materials, Inc. Retarding electron beams in multiple electron beam pattern generation
US6896773B2 (en) 2002-11-14 2005-05-24 Zond, Inc. High deposition rate sputtering
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP2004172389A (en) 2002-11-20 2004-06-17 Renesas Technology Corp Semiconductor device and method for manufacturing the same
US7022561B2 (en) 2002-12-02 2006-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS device
TW577124B (en) 2002-12-03 2004-02-21 Mosel Vitelic Inc Method for estimating the forming thickness of the oxide layer and determining whether the pipes occur leakages
JP2004343031A (en) 2002-12-03 2004-12-02 Advanced Lcd Technologies Development Center Co Ltd Dielectric film, formation method thereof, semiconductor device using dielectric film, and manufacturing method thereof
JP4142941B2 (en) 2002-12-06 2008-09-03 株式会社東芝 Manufacturing method of semiconductor device
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6825529B2 (en) 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6825130B2 (en) 2002-12-12 2004-11-30 Asm Japan K.K. CVD of porous dielectric materials
US7097886B2 (en) 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US7092287B2 (en) 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
US20040118519A1 (en) 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP4303484B2 (en) 2003-01-21 2009-07-29 大日本スクリーン製造株式会社 Plating equipment
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7500445B2 (en) 2003-01-27 2009-03-10 Applied Materials, Inc. Method and apparatus for cleaning a CVD chamber
US6908852B2 (en) 2003-01-29 2005-06-21 Freescale Semiconductor, Inc. Method of forming an arc layer for a semiconductor device
US6998565B2 (en) 2003-01-30 2006-02-14 Rohm Co., Ltd. Plasma processing apparatus
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US20040183202A1 (en) 2003-01-31 2004-09-23 Nec Electronics Corporation Semiconductor device having copper damascene interconnection and fabricating method thereof
US7273808B1 (en) 2003-02-03 2007-09-25 Novellus Systems, Inc. Reactive barrier/seed preclean process for damascene process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6924181B2 (en) 2003-02-13 2005-08-02 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon layer semiconductor product employing strained insulator layer
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US6924241B2 (en) 2003-02-24 2005-08-02 Promos Technologies, Inc. Method of making a silicon nitride film that is transmissive to ultraviolet light
KR100470973B1 (en) 2003-02-26 2005-03-10 삼성전자주식회사 High density plasma chemical vapor deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
WO2004079781A2 (en) 2003-03-04 2004-09-16 Dow Corning Corporation Organic light-emitting diode
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US20050016956A1 (en) 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
JP2004283065A (en) 2003-03-20 2004-10-14 Ushio Inc Method for producing chemotaxic function control membrane, artificial material and method for producing artificial material
US6805779B2 (en) 2003-03-21 2004-10-19 Zond, Inc. Plasma generation using multi-step ionization
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US7037376B2 (en) 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US6942753B2 (en) 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6806651B1 (en) 2003-04-22 2004-10-19 Zond, Inc. High-density plasma source
KR100505419B1 (en) 2003-04-23 2005-08-04 주식회사 하이닉스반도체 Method for manufacturing isolation layer in semiconductor device
JP4140768B2 (en) 2003-04-24 2008-08-27 株式会社日立国際電気 Semiconductor raw materials
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US20050285140A1 (en) 2004-06-23 2005-12-29 Chih-Hsin Ko Isolation structure for strained channel transistors
JP3976703B2 (en) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 Manufacturing method of semiconductor device
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US7371427B2 (en) 2003-05-20 2008-05-13 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7723228B2 (en) 2003-05-20 2010-05-25 Applied Materials, Inc. Reduction of hillocks prior to dielectric barrier deposition in Cu damascene
US7081414B2 (en) 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6946358B2 (en) 2003-05-30 2005-09-20 International Business Machines Corporation Method of fabricating shallow trench isolation by ultra-thin SIMOX processing
US6869860B2 (en) 2003-06-03 2005-03-22 International Business Machines Corporation Filling high aspect ratio isolation structures with polysilazane based material
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
KR100500451B1 (en) 2003-06-16 2005-07-12 삼성전자주식회사 Methods of fabricating a semiconductor device including a MOS transistor having a strained channel
US7883739B2 (en) 2003-06-16 2011-02-08 Lam Research Corporation Method for strengthening adhesion between dielectric layers formed adjacent to metal layers
US6860944B2 (en) 2003-06-16 2005-03-01 Blue29 Llc Microelectronic fabrication system components and method for processing a wafer using such components
JP2005033173A (en) 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
KR101125084B1 (en) 2003-06-17 2012-03-21 시바 홀딩 인크 Process for the preparation of metal oxide coated organic material by microwave deposition
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7274038B2 (en) 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
KR20050003758A (en) 2003-07-04 2005-01-12 매그나칩 반도체 유한회사 The method for forming shall trench isolation in semiconductor device
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7794831B2 (en) 2003-07-28 2010-09-14 Vampire Optical Coating, Inc. Anti-reflective coating
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US7342289B2 (en) 2003-08-08 2008-03-11 Taiwan Semiconductor Manufacturing Co., Ltd Strained silicon MOS devices
US7608300B2 (en) 2003-08-27 2009-10-27 Applied Materials, Inc. Methods and devices to reduce defects in dielectric stack structures
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7070915B2 (en) 2003-08-29 2006-07-04 Tokyo Electron Limited Method and system for drying a substrate
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
WO2005024928A1 (en) 2003-09-03 2005-03-17 Tokyo Electron Limited Gas treatment device and heat readiting method
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US7459241B2 (en) 2003-09-22 2008-12-02 Seagate Technology Llc Rotary apertured interferometric lithography (RAIL)
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
US6989321B2 (en) 2003-09-30 2006-01-24 Tokyo Electron Limited Low-pressure deposition of metal layers from metal-carbonyl precursors
US7371688B2 (en) 2003-09-30 2008-05-13 Air Products And Chemicals, Inc. Removal of transition metal ternary and/or quaternary barrier materials from a substrate
US7055127B2 (en) 2003-10-27 2006-05-30 Takumi Technology Corp. Mask data preparation
US6989337B2 (en) 2003-10-02 2006-01-24 United Microelectric Corp. Silicon oxide gap-filling process
US20050074698A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of significantly different widths
US20050073671A1 (en) 2003-10-07 2005-04-07 Intel Corporation Composite optical lithography method for patterning lines of substantially equal width
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
JP4285184B2 (en) 2003-10-14 2009-06-24 東京エレクトロン株式会社 Film forming method and film forming apparatus
US7142282B2 (en) 2003-10-17 2006-11-28 Intel Corporation Device including contacts
US20050085085A1 (en) 2003-10-17 2005-04-21 Yan Borodovsky Composite patterning with trenches
US6974781B2 (en) 2003-10-20 2005-12-13 Asm International N.V. Reactor precoating for reduced stress and uniform CVD
US20050088633A1 (en) 2003-10-24 2005-04-28 Intel Corporation Composite optical lithography method for patterning lines of unequal width
DE10350752A1 (en) 2003-10-30 2005-06-09 Infineon Technologies Ag A method of forming a dielectric on a copper-containing metallization and capacitor assembly
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US20050227017A1 (en) 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7015082B2 (en) 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
JP4273932B2 (en) 2003-11-07 2009-06-03 株式会社島津製作所 Surface wave excitation plasma CVD equipment
US6808991B1 (en) 2003-11-19 2004-10-26 Macronix International Co., Ltd. Method for forming twin bit cell flash memory
JP2005166700A (en) 2003-11-28 2005-06-23 Toshiba Corp Semiconductor device and manufacturing method therefor
US7459120B2 (en) 2003-12-04 2008-12-02 Essilor International Low pressure thermoforming of thin, optical carriers
US20050129404A1 (en) 2003-12-10 2005-06-16 Kim Byoung W. Apparatus for providing broadcasting service through overlay structure in WDM-PON
US6833578B1 (en) 2003-12-11 2004-12-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method and structure improving isolation between memory cell passing gate and capacitor
US7321786B2 (en) 2003-12-17 2008-01-22 Cedraeus Incorporated Method for a random-based decision-making process
US7244654B2 (en) 2003-12-31 2007-07-17 Texas Instruments Incorporated Drive current improvement from recessed SiGe incorporation close to gate
KR20050072332A (en) 2004-01-06 2005-07-11 학교법인 동서학원 Fabrication method of silicon carbon-nitride microstructures using pdms mold for high-temperature micro electro mechanical system applications
US7098116B2 (en) 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
CN100477107C (en) 2004-01-28 2009-04-08 东京毅力科创株式会社 Method for cleaning process chamber of substrate processing apparatus, substrate processing apparatus and method for processing substrate
WO2005078784A1 (en) 2004-02-17 2005-08-25 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7112513B2 (en) 2004-02-19 2006-09-26 Micron Technology, Inc. Sub-micron space liner and densification process
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (en) 2004-03-02 2009-06-17 株式会社アルバック Method for forming silicon nitride film
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
US7390573B2 (en) 2004-03-09 2008-06-24 Exatec Llc Plasma coating system for non-planar substrates
JP4451684B2 (en) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 Vacuum processing equipment
KR20050094183A (en) 2004-03-22 2005-09-27 삼성전자주식회사 Chemical vapor deposition apparatus and method of forming an oxide layer using the same
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7384486B2 (en) 2004-03-26 2008-06-10 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
EP1731299A1 (en) 2004-03-31 2006-12-13 Konica Minolta Holdings, Inc. Transparent conductive film, method for producing transparent conductive film and organic electroluminescent device
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (en) 2004-04-07 2005-10-27 Toshiba Corp Semiconductor manufacturing equipment and semiconductor manufacturing method
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
FR2869897B1 (en) 2004-05-10 2006-10-27 Saint Gobain PHOTOCATALYTIC COATING SUBSTRATE
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
GB0410749D0 (en) 2004-05-14 2004-06-16 Dow Corning Ireland Ltd Coating apparatus
JP5383038B2 (en) 2004-05-20 2014-01-08 アクゾ ノーベル ナムローゼ フェンノートシャップ Bubbler for constant supply of solid compound vapor
KR100580584B1 (en) 2004-05-21 2006-05-16 삼성전자주식회사 Method for cleaning a surface of a remote plasma generating tube and method and apparatus for processing a substrate using the same
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7326505B2 (en) 2004-05-26 2008-02-05 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7176105B2 (en) 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US20050274128A1 (en) 2004-06-10 2005-12-15 Genesis Cryopump with enhanced hydrogen pumping
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
JP4396547B2 (en) 2004-06-28 2010-01-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4813831B2 (en) 2005-07-05 2011-11-09 積水化学工業株式会社 Surface treatment stage structure
US7129187B2 (en) 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7431795B2 (en) 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7381291B2 (en) 2004-07-29 2008-06-03 Asm Japan K.K. Dual-chamber plasma processing apparatus
JP4570659B2 (en) 2004-08-04 2010-10-27 インダストリー−ユニヴァーシティ コオペレーション ファウンデーション ハニャン ユニヴァーシティ Remote plasma atomic layer deposition apparatus and method using DC bias
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (en) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Method for manufacturing silicon nitride film
US7041543B1 (en) 2004-08-20 2006-05-09 Novellus Systems, Inc. Strained transistor architecture and method
JP4389791B2 (en) 2004-08-25 2009-12-24 セイコーエプソン株式会社 Fine structure manufacturing method and exposure apparatus
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
US7632610B2 (en) 2004-09-02 2009-12-15 Intel Corporation Sub-resolution assist features
KR100550351B1 (en) 2004-09-07 2006-02-08 삼성전자주식회사 Method for forming a layer in a semiconductor device and apparatus for performing the same
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
WO2006029388A2 (en) 2004-09-09 2006-03-16 Nanodynamics, Inc. Method and apparatus for fabricating low-k dielectrics, conducting films, and strain-controlling conformable silica-carbon materials
WO2006039503A2 (en) 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US20060075967A1 (en) 2004-10-12 2006-04-13 Applied Materials, Inc. Magnetic-field concentration in inductively coupled plasma reactors
US7270887B2 (en) 2004-10-13 2007-09-18 Shin-Etsu Chemical Co., Ltd. Antireflective coating, coating composition, and antireflective coated article
US20060224451A1 (en) 2004-10-18 2006-10-05 Xcelerator Loyalty Group, Inc. Incentive program
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7440088B2 (en) 2004-10-28 2008-10-21 Applied Materials, Inc. Methods and devices for measuring a concentrated light beam
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (en) 2004-11-11 2007-12-07 삼성전자주식회사 Device for making semiconductor
US7109051B2 (en) 2004-11-15 2006-09-19 Freescale Semiconductor, Inc. Method of integrating optical devices and electronic devices on an integrated circuit
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
CN1800441B (en) 2005-01-05 2010-09-01 鸿富锦精密工业(深圳)有限公司 Precipitation method and device for plasma reinforced film
US7271110B2 (en) 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US20060154494A1 (en) 2005-01-08 2006-07-13 Applied Materials, Inc., A Delaware Corporation High-throughput HDP-CVD processes for advanced gapfill applications
US7323391B2 (en) 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100782380B1 (en) 2005-01-24 2007-12-07 삼성전자주식회사 Device for making semiconductor
EP1691224A1 (en) 2005-02-10 2006-08-16 Konica Minolta Opto, Inc. Diffractive grating member and optical communication module
TWI263265B (en) 2005-02-13 2006-10-01 United Microelectronics Corp Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
KR100607351B1 (en) 2005-03-10 2006-07-28 주식회사 하이닉스반도체 Method for fabricating flash memory device
JP4506677B2 (en) 2005-03-11 2010-07-21 東京エレクトロン株式会社 Film forming method, film forming apparatus, and storage medium
JP2006261434A (en) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Method for forming silicon oxide film
US7361930B2 (en) 2005-03-21 2008-04-22 Agilent Technologies, Inc. Method for forming a multiple layer passivation film and a device incorporating the same
KR20060103640A (en) 2005-03-28 2006-10-04 삼성전자주식회사 Semi-conductor manufacturing apparatus
KR100689826B1 (en) 2005-03-29 2007-03-08 삼성전자주식회사 High density plasma chemical vapor deposition methods using a fluorine-based chemical etching gas and methods of fabricating a semiconductor device employing the same
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7309659B1 (en) 2005-04-01 2007-12-18 Advanced Micro Devices, Inc. Silicon-containing resist to pattern organic low k-dielectrics
US7585704B2 (en) 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4663381B2 (en) 2005-04-12 2011-04-06 富士フイルム株式会社 Gas barrier film, substrate film and organic electroluminescence device
US7479210B2 (en) 2005-04-14 2009-01-20 Tango Systems, Inc. Temperature control of pallet in sputtering system
US20060274295A1 (en) 2005-05-04 2006-12-07 Brueck Steven R J Nanotool processes and applications
US20060251499A1 (en) 2005-05-09 2006-11-09 Lunday Andrew P Linear substrate delivery system with intermediate carousel
KR100731164B1 (en) 2005-05-19 2007-06-20 주식회사 피에조닉스 Apparatus of chemical vapor deposition with a shower head and method therof
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7732342B2 (en) 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US7566655B2 (en) 2005-05-26 2009-07-28 Applied Materials, Inc. Integration process for fabricating stressed transistor structure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
JP4509868B2 (en) 2005-06-07 2010-07-21 株式会社東芝 Manufacturing method of semiconductor device
JP5091428B2 (en) 2005-06-14 2012-12-05 株式会社東芝 Manufacturing method of semiconductor device
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7329586B2 (en) 2005-06-24 2008-02-12 Applied Materials, Inc. Gapfill using deposition-etch sequence
US7462527B2 (en) 2005-07-06 2008-12-09 International Business Machines Corporation Method of forming nitride films with high compressive stress for improved PFET device performance
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
JP4860953B2 (en) 2005-07-08 2012-01-25 富士通株式会社 Silica-based film forming material, silica-based film and manufacturing method thereof, multilayer wiring and manufacturing method thereof, and semiconductor device and manufacturing method thereof
US20070010072A1 (en) 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7238586B2 (en) 2005-07-21 2007-07-03 United Microelectronics Corp. Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
US20070031609A1 (en) 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US8007878B2 (en) 2005-08-03 2011-08-30 Fujifilm Corporation Antireflection film, polarizing plate, and image display device
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7378002B2 (en) 2005-08-23 2008-05-27 Applied Materials, Inc. Aluminum sputtering while biasing wafer
US7427570B2 (en) 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7371649B2 (en) 2005-09-13 2008-05-13 United Microelectronics Corp. Method of forming carbon-containing silicon nitride layer
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US7544603B2 (en) 2005-09-22 2009-06-09 United Microelectronics Corp. Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US20070080056A1 (en) 2005-10-07 2007-04-12 German John R Method and apparatus for cylindrical magnetron sputtering using multiple electron drift paths
JP5154009B2 (en) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト Manufacturing method of organic siloxane insulating film, and manufacturing method of liquid crystal display device using organic siloxane insulating film manufactured by this manufacturing method as interlayer insulation
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US7842355B2 (en) 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7518108B2 (en) 2005-11-10 2009-04-14 Wisconsin Alumni Research Foundation Electrospray ionization ion source with tunable charge reduction
US7416995B2 (en) 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
GB2432371B (en) 2005-11-17 2011-06-15 Epichem Ltd Improved bubbler for the transportation of substances by a carrier gas
WO2007058093A1 (en) 2005-11-21 2007-05-24 Konica Minolta Opto, Inc. Method of treating optical film, apparatus for treating optical film, and process for producing optical film
KR101061945B1 (en) 2005-11-24 2011-09-05 도쿄엘렉트론가부시키가이샤 Liquid processing method, liquid processing apparatus and computer readable medium with controlling program therefor
US7440078B2 (en) 2005-12-20 2008-10-21 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using interferometric and maskless exposure units
US20070160822A1 (en) 2005-12-21 2007-07-12 Bristow Paul A Process for improving cycle time in making molded thermoplastic composite sheets
US7561252B2 (en) 2005-12-29 2009-07-14 Asml Holding N.V. Interferometric lithography system and method used to generate equal path lengths of interfering beams
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP4847136B2 (en) 2006-01-17 2011-12-28 株式会社アルバック Vacuum processing equipment
JP5070702B2 (en) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and manufacturing apparatus
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (en) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
JP2007221058A (en) 2006-02-20 2007-08-30 Toshiba Corp Method for manufacturing semiconductor device
JP4618178B2 (en) 2006-03-27 2011-01-26 オムロン株式会社 Terminal and manufacturing method thereof
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
KR101304726B1 (en) 2006-04-03 2013-09-05 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
TW200739710A (en) 2006-04-11 2007-10-16 Dainippon Screen Mfg Substrate processing method and substrate processing apparatus
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070254093A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor with concentration-monitor feedback
US20070254100A1 (en) 2006-04-26 2007-11-01 Applied Materials, Inc. MOCVD reactor without metalorganic-source temperature control
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
KR101115750B1 (en) 2006-05-30 2012-03-07 어플라이드 머티어리얼스, 인코포레이티드 A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
JP2007324154A (en) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd Plasma treating apparatus
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070277734A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
CN101479633A (en) 2006-06-30 2009-07-08 应用材料股份有限公司 Method and apparatus for demultiplexing optical signals in a passive optical network
KR100816749B1 (en) 2006-07-12 2008-03-27 삼성전자주식회사 Device Isolation Layer, Nonvolatile Memory Device Having The Device Isolation Layer, and Methods Of Forming The Device Isolation Layer and The Semiconductor Device
US20080014759A1 (en) 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US7514375B1 (en) 2006-08-08 2009-04-07 Novellus Systems, Inc. Pulsed bias having high pulse frequency for filling gaps with dielectric material
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7553758B2 (en) 2006-09-18 2009-06-30 Samsung Electronics Co., Ltd. Method of fabricating interconnections of microelectronic device using dual damascene process
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (en) 2006-09-28 2014-11-21 Tokyo Electron Ltd Film formation method and apparatus for forming silicon oxide film
US20080096364A1 (en) 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US20080193673A1 (en) 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
WO2008074672A1 (en) 2006-12-20 2008-06-26 Nxp B.V. Improving adhesion of diffusion barrier on cu containing interconnect element
JP5177617B2 (en) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 Silicon oxide thin film forming equipment
JP2008181710A (en) 2007-01-23 2008-08-07 Canon Inc Plasma treatment device and method
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (en) 2007-02-27 2008-02-04 삼성전자주식회사 Method of fabricating semiconductor device
CA2670809A1 (en) 2007-02-27 2008-09-04 Sixtron Advanced Materials, Inc. Method for forming a film on a substrate
JP2008218684A (en) 2007-03-05 2008-09-18 Sony Corp Method of fabricating semiconductor device
US20080216958A1 (en) 2007-03-07 2008-09-11 Novellus Systems, Inc. Plasma Reaction Apparatus Having Pre-Seasoned Showerheads and Methods for Manufacturing the Same
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
US7781352B2 (en) 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009027134A (en) 2007-06-21 2009-02-05 Tokyo Electron Ltd Mos semiconductor memory device
KR20090011765A (en) 2007-07-27 2009-02-02 주식회사 아이피에스 Method of depositing silicon oxide layer with increased gap-fill ability
KR100866143B1 (en) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 Method for forming isolation layer of semiconductor device
US8582079B2 (en) 2007-08-14 2013-11-12 Applied Materials, Inc. Using phase difference of interference lithography for resolution enhancement
US8896809B2 (en) 2007-08-15 2014-11-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090117491A1 (en) 2007-08-31 2009-05-07 Applied Materials, Inc. Resolution enhancement techniques combining interference-assisted lithography with other photolithography techniques
US20100002210A1 (en) 2007-08-31 2010-01-07 Applied Materials, Inc. Integrated interference-assisted lithography
US20090111056A1 (en) 2007-08-31 2009-04-30 Applied Materials, Inc. Resolution enhancement techniques combining four beam interference-assisted lithography with other photolithography techniques
US7867921B2 (en) 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7745350B2 (en) 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
TWI489547B (en) 2007-09-18 2015-06-21 Air Liquide Method of forming silicon-containing films
KR101404669B1 (en) 2007-09-27 2014-06-09 삼성전자주식회사 Nonvolatile memory device and method of forming the same
US7964442B2 (en) 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090120584A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US7964040B2 (en) 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
KR100976422B1 (en) 2007-12-28 2010-08-18 주식회사 하이닉스반도체 Method of forming an isolation layer in semiconductor device
JP4935684B2 (en) 2008-01-12 2012-05-23 東京エレクトロン株式会社 Film forming method and film forming apparatus
US20110076420A1 (en) 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US20090238998A1 (en) 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (en) 2008-04-02 2009-11-12 Nec Electronics Corp Semiconductor memory and method of manufacturing the same
US8057649B2 (en) 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8349156B2 (en) 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
KR20090122860A (en) 2008-05-26 2009-12-01 주성엔지니어링(주) Polysilicon film and method of forming the same, flash memory device and manufacturing method using the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US7947588B2 (en) 2008-08-26 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for a CMOS device with doped conducting metal oxide as the gate electrode
US20100078315A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
US20100078320A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
JP4638550B2 (en) 2008-09-29 2011-02-23 東京エレクトロン株式会社 Mask pattern forming method, fine pattern forming method, and film forming apparatus
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8563090B2 (en) 2008-10-16 2013-10-22 Applied Materials, Inc. Boron film interface engineering
US7910491B2 (en) 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
US20100136313A1 (en) 2008-12-01 2010-06-03 Asm Japan K.K. Process for forming high resistivity thin metallic film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8012887B2 (en) 2008-12-18 2011-09-06 Applied Materials, Inc. Precursor addition to silicon oxide CVD for improved low temperature gapfill
JP2010183069A (en) 2009-01-07 2010-08-19 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing apparatus
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
KR101524824B1 (en) 2009-01-21 2015-06-03 삼성전자주식회사 method of forming pattern structure
US7816945B2 (en) 2009-01-22 2010-10-19 International Business Machines Corporation 3D chip-stack with fuse-type through silicon via
US8080463B2 (en) 2009-01-23 2011-12-20 Kabushiki Kaisha Toshiba Semiconductor device manufacturing method and silicon oxide film forming method
JP5329265B2 (en) 2009-03-09 2013-10-30 株式会社日立国際電気 Semiconductor device manufacturing method and substrate processing apparatus
JP5248370B2 (en) 2009-03-10 2013-07-31 東京エレクトロン株式会社 Shower head and plasma processing apparatus
US8264066B2 (en) 2009-07-08 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Liner formation in 3DIC structures
TW201130007A (en) 2009-07-09 2011-09-01 Applied Materials Inc High efficiency low energy microwave ion/electron source
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US7943514B2 (en) 2009-09-03 2011-05-17 Texas Instruments Incorporated Integrated circuits having TSVs including metal gettering dielectric liners
US8329587B2 (en) 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (en) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド Dielectric film growth using radicals generated using a flexible nitrogen / hydrogen ratio
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (en) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 Manufacturing method of flowable dielectric layer
KR101837648B1 (en) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 In­situ ozone cure for radical­component cvd
US8294261B2 (en) 2010-01-29 2012-10-23 Texas Instruments Incorporated Protruding TSV tips for enhanced heat dissipation for IC devices
KR101853802B1 (en) 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
JP2011220127A (en) 2010-04-05 2011-11-04 Denso Corp Exhaust gas circulation device
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8785261B2 (en) 2010-09-23 2014-07-22 Intel Corporation Microelectronic transistor having an epitaxial graphene channel layer
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
JP5566845B2 (en) 2010-10-14 2014-08-06 株式会社東芝 Manufacturing method of semiconductor device
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
KR20130135261A (en) 2010-11-03 2013-12-10 어플라이드 머티어리얼스, 인코포레이티드 Apparatus and methods for deposition of silicon carbide and silicon carbonitride films
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20120193778A1 (en) 2011-01-27 2012-08-02 Texas Instruments Incorporated Integrated circuit having protruding bonding features with reinforcing dielectric supports
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8487410B2 (en) 2011-04-13 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Through-silicon vias for semicondcutor substrate and method of manufacture
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US20120292720A1 (en) 2011-05-18 2012-11-22 Chih-Chung Chen Metal gate structure and manufacturing method thereof
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130045605A1 (en) 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US20130062736A1 (en) 2011-09-09 2013-03-14 Texas Instruments Incorporated Post-polymer revealing of through-substrate via tips
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080179715A1 (en) * 2007-01-30 2008-07-31 Micron Technology, Inc. Shallow trench isolation using atomic layer deposition during fabrication of a semiconductor device
US20090035915A1 (en) * 2007-08-01 2009-02-05 United Microelectronics Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US20090104790A1 (en) * 2007-10-22 2009-04-23 Applied Materials, Inc. Methods for Forming a Dielectric Layer Within Trenches
US20090280650A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20110212620A1 (en) * 2009-10-05 2011-09-01 Applied Materials, Inc. Post-planarization densification

Also Published As

Publication number Publication date
US20140213070A1 (en) 2014-07-31
JP2016510507A (en) 2016-04-07
US9018108B2 (en) 2015-04-28
KR20150109379A (en) 2015-10-01
TW201437416A (en) 2014-10-01

Similar Documents

Publication Publication Date Title
US9018108B2 (en) Low shrinkage dielectric films
TWI817004B (en) Method for reforming amorphous carbon polymer film
US9362107B2 (en) Flowable low-k dielectric gapfill treatment
US10096466B2 (en) Pulsed plasma for film deposition
US10465294B2 (en) Oxide and metal removal
JP6890550B2 (en) Cleaning of high aspect ratio vias
US7297608B1 (en) Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US8889566B2 (en) Low cost flowable dielectric films
KR101830979B1 (en) METHOD OF FORMING CONFORMAL FILM HAVING Si-N BONDS ON HIGH-ASPECT RATIO PATTERN
US20080076266A1 (en) Method for forming insulation film having high density
KR102503141B1 (en) Pulsed nitride encapsulation
EP1523034A2 (en) Method of manufacturing silicon carbide film
TW201432085A (en) Metal processing using high density plasma
JP2011054968A (en) METHOD FOR FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N COMBINATION BY PECVD
TWI706438B (en) Uv-assisted material injection into porous films
US9472392B2 (en) Step coverage dielectric
KR102599830B1 (en) Defect smoothing
TWI831824B (en) Non-uv high hardness low k film deposition
KR101725765B1 (en) Mehtod for depositing oxide and method for forming via contact using the same
TW202022154A (en) Non-uv high hardness low k film deposition
JP2008130575A (en) Film formation material and method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 13872983

Country of ref document: EP

Kind code of ref document: A1

ENP Entry into the national phase

Ref document number: 2015555161

Country of ref document: JP

Kind code of ref document: A

Ref document number: 20157020282

Country of ref document: KR

Kind code of ref document: A

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 13872983

Country of ref document: EP

Kind code of ref document: A1