WO2015108558A1 - In-line chamber coating to control particle flaking - Google Patents

In-line chamber coating to control particle flaking Download PDF

Info

Publication number
WO2015108558A1
WO2015108558A1 PCT/US2014/037242 US2014037242W WO2015108558A1 WO 2015108558 A1 WO2015108558 A1 WO 2015108558A1 US 2014037242 W US2014037242 W US 2014037242W WO 2015108558 A1 WO2015108558 A1 WO 2015108558A1
Authority
WO
WIPO (PCT)
Prior art keywords
silicon
chamber
substrate
silicon nitride
processing region
Prior art date
Application number
PCT/US2014/037242
Other languages
French (fr)
Inventor
Iv Edward P. Hammond
Damanjot Kaur Kochhar
Michael P. Stewart
Tsutomu Tanaka
Hari K. Ponnekanti
Christopher T. Lane
Ruiping Wang
Original Assignee
Applied Materials, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials, Inc. filed Critical Applied Materials, Inc.
Priority to TW104101538A priority Critical patent/TW201539578A/en
Publication of WO2015108558A1 publication Critical patent/WO2015108558A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0641Nitrides
    • C23C14/0652Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/10Glass or silica
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating

Definitions

  • Embodiments of the invention generally relate to methods for reducing particle flaking in in-line coating chambers, such as semiconductor processing chambers.
  • in-line processing tools deposit material on substrates, such as solar cells, in a continuous manner for dozens to hundreds of hours.
  • substrates such as solar cells
  • several millimeters of film can accumulate on chamber components, such as a plasma source.
  • the accumulated deposition material can undesirably flake off of the chamber components onto the processed substrate as the substrates move through the processing chamber.
  • the flaking of the deposited material is exacerbated by the non-uniform composition of the deposition material.
  • the film may include concentration gradients therein adjacent to precursor gas inlets. The concentration gradients result in different film qualities throughout the film and promote flaking.
  • the flaked material can undesirably reduce performance of the final device. Prohibiting the flaking of material from chamber components is especially difficult when depositing silicon nitride, due the relatively high compressive stress of silicon nitride.
  • Embodiments of the present invention relate to a method for reducing flaking in an in-line processing system.
  • the method includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate.
  • the substrate is then transferred from the processing region.
  • a silicon dioxide layer is then deposited over components of the chamber to cover any silicon nitride deposited on the chamber components during the silicon nitride deposition, The silicon dioxide layer disposed on the silicon nitride layer reduces flaking of the silicon nitride layer from the chamber components.
  • a method for reducing flaking from chamber components includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate. During deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber. The method also includes transferring the substrate from the processing region, and while the substrate is absent from the processing region, depositing a silicon dioxide layer over the silicon nitride layer deposited on components within the chamber.
  • a method for reducing flaking from chamber components comprises transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate.
  • the depositing the silicon nitride layer comprises introducing silicon, nitrogen, and oxygen into the process chamber, wherein during deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber.
  • the silicon nitride material deposited on the components includes oxygen therein.
  • the substrate is then transferred from the processing region.
  • FIG. 1A is a schematic isometric view of a substrate processing system, according to one embodiment of the invention.
  • Figure 1 B is a schematic side cross-sectional view of a deposition chamber, according to one embodiment of the invention.
  • Figure 2 is a schematic sectional view of a deposition source, according to one embodiment of the invention.
  • Figure 3 is a flow diagram illustrating a method of controlling particle flaking, according to one embodiment of the invention.
  • Figure 4 illustrates a film stack deposited according to the method of Figure 3.
  • Embodiments of the present invention relate to a method for reducing flaking in an in-line processing system.
  • the method includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate.
  • the substrate is then transferred from the processing region.
  • a silicon dioxide layer is then deposited over components of the chamber to cover any silicon nitride deposited on the chamber components during the silicon nitride deposition.
  • the silicon dioxide layer disposed on the silicon nitride layer reduces flaking of the silicon nitride layer from the chamber components.
  • FIG. 1A is a schematic isometric view of a substrate processing system 100, according to one embodiment of the invention.
  • the present invention generally provides a high throughput substrate processing system 100, or in-line processing system, for in-situ processing of a film stack used to form regions of a solar cell device.
  • one or more film stacks formed on each of the substrates 101 contains one or more passivating or dielectric layers that are deposited and further processed within one or more processing chambers 140, 141 , 142 contained within the high throughput substrate processing system 100.
  • the processing chambers 140, 141 , 142 may include, for example, one or more of plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, atomic layer deposition (ALD) chambers, plasma enhanced atomic layer deposition chambers (PEALD), physical vapor deposition (PVD) chambers, thermal processing chambers (e.g., RTA or RTO chambers), substrate reorientation chambers (e.g., flipping chambers) and/or other similar processing chambers.
  • PECVD plasma enhanced chemical vapor deposition
  • LPCVD low pressure chemical vapor deposition
  • ALD atomic layer deposition
  • PEALD plasma enhanced atomic layer deposition chambers
  • PVD physical vapor deposition
  • thermal processing chambers e.g., RTA or RTO chambers
  • substrate reorientation chambers e.g., flipping chambers
  • the high throughput substrate processing system 100 may include one or more deposition chambers, such as process chambers 140, 141 , 142 in which substrates 101 are exposed to one or more gas-phase materials and a plasma.
  • the processing system 100 includes at least one plasma enhanced chemical vapor deposition (PECVD) processing chamber that has been adapted to process a plurality of substrates 101 , such as solar cell substrates, as the substrates 101 pass through the system 100 in a linear direction.
  • the substrates 101 are simultaneously transferred in a vacuum or inert environment through the high throughput substrate processing system 100 to prevent substrate contamination and improve substrate throughput.
  • PECVD plasma enhanced chemical vapor deposition
  • the substrate processing system 100 includes a substrate receiving chamber 105, pre-processing chamber 107, at least one processing chamber maintained at a pressure below that of atmospheric pressure, such as a first processing chamber 140, a second processing chamber 141 , and a third processing chamber 180, at least one transferring chamber, such as transferring chambers 109 and 1 1 1 , a buffer chamber 1 14 and a substrate unload chamber 1 16.
  • the substrate processing system 100 may also include one or more support components 1 10, such as a control unit, user interface, buffer, and the like.
  • FIG. 1 B is a schematic side cross-sectional view of a processing chamber 140, according to one embodiment of the invention.
  • the processing chamber 140 comprises one or more deposition sources, such as deposition sources 160A-160D, gas sources 128 and 129, one or more power sources 131 (four are shown), chamber walls 102 that at least partially enclose a portion of the chamber volume 106, and at least a portion of the conveyor transfer system 1 15.
  • Deposition sources 160A-180D are adapted to form a layer on the surface of the substrates 101 as the substrates 101 pass under and adjacent to the deposition sources 180A-160D.
  • the walls 102 generally comprise a material that can structurally support the loads applied by the environment 143, which is external to the chamber volume 106, when it is heated to a desirable temperature and pumped to a vacuum pressure by a vacuum pump 145.
  • the walls 102 generally comprise a material such as aluminum, an aluminum alloy, or stainless steel.
  • the portion of the conveyor transfer system 1 15 comprises a conveyor 121 that is adapted to support, guide, and move the substrates 101 through the processing chamber 140 by use of one or more actuators (not shown), for example, a stepper motor or servo motor.
  • the conveyor 121 comprises two or more rollers 1 12 (four are shown) and a belt 1 13 that are configured to support and move the substrates 101 in a positive X-direction during processing.
  • processing in a reverse configuration is also contemplated.
  • each of the deposition sources 180A-160D are coupled to at least one gas source, such as gas sources 128 and 129, that is configured to deliver one or more process gases to a processing region 125 formed with the chamber volume 106, and below each of the deposition sources 160A-180D and over the surface of a substrate 101 disposed there under.
  • Gas lines 148 and 149 facilitate transfer of gases from the gas sources 128, 129 to the deposition sources 180A-180D.
  • the deposition sources 160A-180D will generally include at least one gas delivery element, such as a first gas delivery element 181 and second gas delivery element 182, which are each configured to direct the process gases to the processing region 125.
  • the first gas delivery element 181 includes a fluid plenum 161 that is configured to receive the process gas from a gas source 128 and deliver the received gas to the processing region 125 through one or more openings 163 formed therein.
  • the second gas delivery element 182 comprises a fluid plenum 162 that is configured to receive the process gas from a gas source 129 and deliver the received gas to the processing region 125 through one or more openings 164 formed therein.
  • the gas sources 128 and 129 are generally configured to provide one or more precursor gases and/or carrier gases that are used to deposit a layer on the surface of the substrates 101 via deposition process, such as a PECVD process.
  • At least one of the gas sources 128 and 129 is configured to deliver a silicon-containing gas to the deposition sources 160A-160D.
  • the silicon- containing gas may be selected from a group consisting of silane, disilane, chlorosilane, dichlorosilane, trichlorosilane, dibromosilane, trimethylsilane, tetramethylsilane, tridimethylaminosilane (TriDMAS), tetraethoxysilane (TEOS), triethoxyfluorosilarie (TEFS), silicon tetrachloride, silicon tetrabromide, 1 ,3,5,7-tetramethylcyclotetrasiloxane (T CTS), dimethyidiethoxy silane (DMDE), octomethylcyclotetrasiloxane (OMCTS), methyldiethoxysilane (MDEOS), bis(tertiary-buty
  • the oxygen-containing gas may be selected from a group consisting of oxygen (02), nitrous oxide (N2O), ozone (O3), and combinations thereof.
  • the siiicon-containing gas is silane and the oxygen-containing gas is O2.
  • the silicon-containing gas and the oxygen-containing gas may form a dielectric layer on the surface of the substrates 101 .
  • At least one of the gas sources 128 and 129 is configured to deliver a silicon-containing gas and nitrogen-containing gas to a deposition source 160A-160D.
  • the nitrogen-containing gas may be, for example, diatomic nitrogen, nitrous oxide, or ammonia.
  • the gas sources 128 and 129 may be adapted to provide multiple precursor gases, either independently or simultaneously.
  • the gases sources 128, 129 may be gas cabinets housing multiple precursor and/or carrier gas sources.
  • any of deposition sources 16GA-160D may be configured to deliver other precursor gases in addition to those listed above, including an aluminum-containing gas.
  • the deposition sources 160A- 180D, and the precursor gases provided thereto, may be used to facilitate the formation of a desired passivation layer stack deposition. It is also contemplated that more gas sources may be added to the chamber 140 to accommodate more types of gas delivery.
  • each of the deposition sources 180A-180D may be adapted to deposit different film materials on the substrates 101 .
  • the deposition sources 160A-160D may be adapted to deposition one or more films of silicon dioxide, silicon nitride, aluminum oxide, aluminum nitride, and the like.
  • FIG. 2 is a schematic sectional view of a deposition source, according to one embodiment of the invention.
  • the first deposition source 160A includes the gas delivery element 181 , such as a nozzle, for introducing processing gas into the processing region 125.
  • Gas delivery elements 182 (two are shown) are disposed adjacent to the gas delivery element 181 , and are adapted to deliver a second process gas to the processing region 125.
  • Each of the gas delivery elements 181 , 182 are coupled to a gas source, such as the gas source 128 or 129.
  • the gas delivery element 161 may be coupled to the gas source 128, and the gas delivery element 182 may be coupled to the gas source 129.
  • the deposition source 160A also includes a housing 208 in which electrodes 210 are enclosed.
  • the electrodes 210 may be coupled to a common power supply 131 (shown in Figure 1 B).
  • the power supply 131 is an AC power supply.
  • the electrodes 210 are encircled by a target 283, such as silicon target, that protects the electrode from plasma erosion during deposition processes.
  • the target 283 is a sacrificial material and may contribute to the formation of material on a substrate 101 via sputtering.
  • the material formed on a substrate 101 includes less than 1 percent of materia! originating from the target 283.
  • the target may also include materials other than silicon.
  • the target composition may share a common element with a precursor gas.
  • the target 283 may be secured using one or more tabs (not shown), and electrical insulators 288a, 288b disposed at upper and lower end of the target 283.
  • the electrical insulators 286a, 288b may also facilitate electrical isolation of the electrode 210
  • a cooling block 284 is also disposed in the housing 208 and includes cooling passages 219a formed therein to facilitate cooling of deposition source components.
  • a cooling jacket 285 having cooling passages 219b formed therein may also be disposed within the housing 208 to further enhance cooling.
  • the gas delivery elements 182 include cavity portions 222 that are bound by a shield 223.
  • Magnets 224 are disposed circumferentially around the shield 223 between the shield 223 and a portion of the housing 208.
  • the magnets 224 may include permanent magnets or, alternatively, magnetrons.
  • magnet shunts 228 are present within the cavity portion 222 and coupled to the electrodes 210.
  • the magnet shunts 228 are opposite polarity to the respective magnets 224.
  • Collectively, the magnets 224 and the shunts 226 facilitate plasma formation, and shape a magnetic field that affects the deposition.
  • the magnetic shunts may be enclosed or protected by a pole cover 287.
  • power supply 131 is an alternating current power supply with a frequency range between 20 kHz to 500 kHz, such as 40 kHz.
  • a gas source such as gas source 129
  • a second gas is introduced to the processing region 125 through the gas delivery element 181 .
  • the magnets 224 and magnet shunts 228 facilitate formation of plasma from process gases located in the processing region 125, thereby inducing deposition of material on a substrate located within the processing region 125.
  • the formation of the plasma adjacent the gas delivery elements 182 often undesirably results in film formation on surfaces of the deposition source 160A, particularly the shield 223 and the pole cover 227.
  • the deposited film is subject to flaking off, especially when the film contains silicon nitride, which can negatively impact the performance of processed devices. While processing can be stopped after every deposition to remove the film from the shield 223, such a cleaning results in a significant reduction in production, and therefore, minimizing cleaning frequency is desirable.
  • Figure 3 illustrates a method for reducing particle flaking while minimizing chamber cleaning frequency.
  • FIG 3 is a flow diagram illustrating a method 370 of controlling particle flaking, according to one embodiment of the invention.
  • the method 370 begins at operation 371 , in which a substrate is transferred to a processing region adjacent a deposition source, such as processing region 125 adjacent deposition source 160A (shown in Figure 1 B).
  • the substrate may be a solar cell substrate, such as a crystalline silicon wafer.
  • a silicon-containing process gas and a nitrogen-containing process gas are introduced to the processing region in operation 372.
  • a silicon-containing process gas such as siiane
  • the nitrogen-containing process gas such as ammonia
  • the nitrogen-containing process gas and the silicon containing process gas are introduced into the processing region
  • power is applied to the electrodes 210 and the magnets 224 to generate a plasma from the silicon- containing process gas and the nitrogen containing process.
  • the resultant plasma facilitates deposition of a silicon nitride film on a surface of the substrate positioned within the processing region 125. Consequently, an undesirable silicon nitride film also deposits on components of the deposition source 160A, such as on surfaces of the shield 223.
  • the substrate having the silicon nitride film formed thereon is transferred from the processing region 125 on the conveyor 121 to the next processing location, such as the processing region 125 adjacent to the deposition source 160B.
  • the next processing location such as the processing region 125 adjacent to the deposition source 160B.
  • a silicon-containing process gas and an oxygen-containing process gas are introduced to the processing region 125 adjacent the deposition source 160A in operation 374.
  • the silicon-containing process gas and the oxygen-containing process gas are ignited into a plasma to facilitate deposition of a silicon dioxide film over the silicon nitride film located on the components of the deposition source 160; e.g., over the silicon nitride layer deposited on the shield 223.
  • the addition of silicon dioxide over the silicon nitride reduces the flaking of the silicon nitride film by providing a capping or sealing layer. Additionally, the silicon dioxide layer provides a smoother surface for subsequent silicon nitride layers to adhere to, thus further reducing the likelihood of flaking.
  • oxygen from the plasma in operation 373 may partially oxidize the silicon nitride layer, additionally reducing the likelihood of the silicon nitride layer flaking by reducing the stress within the silicon nitride layer. Incorporation of oxygen into the silicon nitride film results in a more mechanically and thermodynamically stable film.
  • a duty cycle for oxygen flow may be about 25 percent or less, such as about 10 percent or less, which provides for sufficient substrate throughput while minimizing particulate flaking.
  • operation 372 may additionally include introducing an oxygen-containing process gas to the processing region.
  • an oxygen-containing process gas may be utilized when the film formed on the substrate 125 allows for incorporation of some oxygen, per film formation specifications.
  • the flow of an oxygen-containing process gas while forming the silicon nitride film on the substrate results in oxygen being incorporated into the silicon nitride film that deposits on the surfaces of the deposition source.
  • the incorporation of oxygen facilitates reduced flaking from deposition source components until the deposition source components are cleaned, for example, after 100 hours of continuous use.
  • operation 374 may be omitted.
  • nitrous oxide may be used as a precursor gas to provide both oxygen and nitrogen to the processing region 125, and thus, separate precursor gases for oxygen and nitrogen may not be necessary.
  • operations 371- 373 may be performed several times cyclically before performing operation 374.
  • a silicon oxide coating layer need not be deposited on each individual silicon nitride layer formed on the deposition source components, thereby enhancing substrate throughput.
  • operations 371 -373 may be performed 5, 10, 50 or more times before performing operation 374.
  • operations 374 may be performed each time after operations 371 -373 are completed.
  • FIG. 4 illustrates a film stack 450 deposited according to the method of Figure 3, according to one embodiment of the invention.
  • the film stack 450 is illustrated as being disposed on a shield 233; however, it is to be understood that the film stack 450 may be formed on components other than the shield 233.
  • the film stack includes alternating layers of silicon dioxide and silicon nitride. It is contemplated that the silicon dioxide layer could also include a non-stoichiometric silicon and oxygen-containing layer. Similarly, it is contemplated that the silicon nitride layer may include, for example, 8S3N4, or other stoichiometric or non-stoichiometric silicon and nitrogen-containing materials.
  • the stack includes a layer 451 of silicon nitride deposited on the shield 233.
  • a layer 452 of silicon dioxide is deposited on the layer 451 to prevent flaking of the layer 451 .
  • a layer 453 including silicon nitride is deposited on the layer 452.
  • a layer 454 including silicon dioxide is deposited on the layer 453 to prevent flaking of the layer 453.
  • a layer 455 including silicon nitride is deposited on the layer 454.
  • a layer 458 including silicon dioxide is deposited on layer 455 to prevent flaking thereon.
  • the layers 453, and 455 may be deposited according to operation 372.
  • the silicon dioxide layers 452, 454, 458 generally have uniform compositions of Si0 2 , and facilitate reduced flaking of the silicon nitride layers 451 , 453, 455 thereunder.
  • the silicon dioxide layers 452, 454, 456 may be deposited to a thickness between about 1 micron and about 5 microns, such as about 2 microns to about 3 microns.
  • Benefits of the present invention include a reduction in flaking of materials from chamber components, resulting in higher quality and better performing devices.
  • the reduction in flaking extends the mean time between chamber cleanings, thus increasing substrate throughput.
  • embodiments herein are described with respect to a particular deposition source, it is contemplated that deposition sources using other types of plasma generation, including inductively-coupled plasma (ICP) or microwave plasma, may benefit from embodiments described herein.
  • ICP inductively-coupled plasma
  • embodiments herein are described with respect to a silicon oxide layer to reduce silicon nitride flaking, it is contemplated that other materials, such as amorphous silicon, may be used to reduce flaking of silicon nitride films.
  • a silicon-containing gas such as silane, may be used to deposit the amorphous film over the silicon nitride.
  • embodiments herein may be utilized to prevent films other than silicon nitride.

Abstract

Embodiments of the present invention relate to a method for reducing flaking in an in-line processing system. The method includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate. The substrate is then transferred from the processing region. A silicon dioxide layer is then deposited over components of the chamber to cover any silicon nitride deposited on the chamber components during the silicon nitride deposition. The silicon dioxide layer disposed on the silicon nitride layer reduces flaking of the silicon nitride layer from the chamber components.

Description

Field of the invention
[0001] Embodiments of the invention generally relate to methods for reducing particle flaking in in-line coating chambers, such as semiconductor processing chambers.
Description of the Related Art
[0002] in-line processing tools deposit material on substrates, such as solar cells, in a continuous manner for dozens to hundreds of hours. As a result of continuous deposition, several millimeters of film can accumulate on chamber components, such as a plasma source. The accumulated deposition material can undesirably flake off of the chamber components onto the processed substrate as the substrates move through the processing chamber. The flaking of the deposited material is exacerbated by the non-uniform composition of the deposition material. For example, the film may include concentration gradients therein adjacent to precursor gas inlets. The concentration gradients result in different film qualities throughout the film and promote flaking. The flaked material can undesirably reduce performance of the final device. Prohibiting the flaking of material from chamber components is especially difficult when depositing silicon nitride, due the relatively high compressive stress of silicon nitride.
[0003] Therefore, there is a need for a method of reducing the flaking of material in process chambers used to deposit silicon nitride.
SUMMARY OF THE INVENTION
[0004] Embodiments of the present invention relate to a method for reducing flaking in an in-line processing system. The method includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate. The substrate is then transferred from the processing region. A silicon dioxide layer is then deposited over components of the chamber to cover any silicon nitride deposited on the chamber components during the silicon nitride deposition, The silicon dioxide layer disposed on the silicon nitride layer reduces flaking of the silicon nitride layer from the chamber components.
[0005] n one embodiment, a method for reducing flaking from chamber components includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate. During deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber. The method also includes transferring the substrate from the processing region, and while the substrate is absent from the processing region, depositing a silicon dioxide layer over the silicon nitride layer deposited on components within the chamber.
[0006] In another embodiment, a method for reducing flaking from chamber components comprises transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate. The depositing the silicon nitride layer comprises introducing silicon, nitrogen, and oxygen into the process chamber, wherein during deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber. The silicon nitride material deposited on the components includes oxygen therein. The substrate is then transferred from the processing region.
[0007] So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments. [0008] Figure 1A is a schematic isometric view of a substrate processing system, according to one embodiment of the invention;
[0009] Figure 1 B is a schematic side cross-sectional view of a deposition chamber, according to one embodiment of the invention;
[0010] Figure 2 is a schematic sectional view of a deposition source, according to one embodiment of the invention;
[0011] Figure 3 is a flow diagram illustrating a method of controlling particle flaking, according to one embodiment of the invention; and
[0012] Figure 4 illustrates a film stack deposited according to the method of Figure 3.
[0013] To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated in other embodiments without further recitation.
DETAILED DESCRIPTION
[0014] Embodiments of the present invention relate to a method for reducing flaking in an in-line processing system. The method includes transferring a substrate to a processing region within a chamber, and depositing a silicon nitride layer on the substrate. The substrate is then transferred from the processing region. A silicon dioxide layer is then deposited over components of the chamber to cover any silicon nitride deposited on the chamber components during the silicon nitride deposition. The silicon dioxide layer disposed on the silicon nitride layer reduces flaking of the silicon nitride layer from the chamber components.
[0015] Figure 1A is a schematic isometric view of a substrate processing system 100, according to one embodiment of the invention. The present invention generally provides a high throughput substrate processing system 100, or in-line processing system, for in-situ processing of a film stack used to form regions of a solar cell device. In one configuration, one or more film stacks formed on each of the substrates 101 contains one or more passivating or dielectric layers that are deposited and further processed within one or more processing chambers 140, 141 , 142 contained within the high throughput substrate processing system 100. The processing chambers 140, 141 , 142 may include, for example, one or more of plasma enhanced chemical vapor deposition (PECVD) chambers, low pressure chemical vapor deposition (LPCVD) chambers, atomic layer deposition (ALD) chambers, plasma enhanced atomic layer deposition chambers (PEALD), physical vapor deposition (PVD) chambers, thermal processing chambers (e.g., RTA or RTO chambers), substrate reorientation chambers (e.g., flipping chambers) and/or other similar processing chambers.
[0016J The high throughput substrate processing system 100 may include one or more deposition chambers, such as process chambers 140, 141 , 142 in which substrates 101 are exposed to one or more gas-phase materials and a plasma. In one embodiment, the processing system 100 includes at least one plasma enhanced chemical vapor deposition (PECVD) processing chamber that has been adapted to process a plurality of substrates 101 , such as solar cell substrates, as the substrates 101 pass through the system 100 in a linear direction. In one embodiment, the substrates 101 are simultaneously transferred in a vacuum or inert environment through the high throughput substrate processing system 100 to prevent substrate contamination and improve substrate throughput.
[0017] In one embodiment, the substrate processing system 100 includes a substrate receiving chamber 105, pre-processing chamber 107, at least one processing chamber maintained at a pressure below that of atmospheric pressure, such as a first processing chamber 140, a second processing chamber 141 , and a third processing chamber 180, at least one transferring chamber, such as transferring chambers 109 and 1 1 1 , a buffer chamber 1 14 and a substrate unload chamber 1 16. The substrate processing system 100 may also include one or more support components 1 10, such as a control unit, user interface, buffer, and the like.
[0018] Figure 1 B is a schematic side cross-sectional view of a processing chamber 140, according to one embodiment of the invention. The processing chamber 140 comprises one or more deposition sources, such as deposition sources 160A-160D, gas sources 128 and 129, one or more power sources 131 (four are shown), chamber walls 102 that at least partially enclose a portion of the chamber volume 106, and at least a portion of the conveyor transfer system 1 15. Deposition sources 160A-180D are adapted to form a layer on the surface of the substrates 101 as the substrates 101 pass under and adjacent to the deposition sources 180A-160D. The walls 102 generally comprise a material that can structurally support the loads applied by the environment 143, which is external to the chamber volume 106, when it is heated to a desirable temperature and pumped to a vacuum pressure by a vacuum pump 145. The walls 102 generally comprise a material such as aluminum, an aluminum alloy, or stainless steel.
[0019] In one configuration, the portion of the conveyor transfer system 1 15 comprises a conveyor 121 that is adapted to support, guide, and move the substrates 101 through the processing chamber 140 by use of one or more actuators (not shown), for example, a stepper motor or servo motor. In one configuration, the conveyor 121 comprises two or more rollers 1 12 (four are shown) and a belt 1 13 that are configured to support and move the substrates 101 in a positive X-direction during processing. However, it is to be noted that processing in a reverse configuration is also contemplated.
[0020J In one embodiment of the processing chamber 140, each of the deposition sources 180A-160D are coupled to at least one gas source, such as gas sources 128 and 129, that is configured to deliver one or more process gases to a processing region 125 formed with the chamber volume 106, and below each of the deposition sources 160A-180D and over the surface of a substrate 101 disposed there under. Gas lines 148 and 149 facilitate transfer of gases from the gas sources 128, 129 to the deposition sources 180A-180D.
[0021] The deposition sources 160A-180D will generally include at least one gas delivery element, such as a first gas delivery element 181 and second gas delivery element 182, which are each configured to direct the process gases to the processing region 125. The first gas delivery element 181 includes a fluid plenum 161 that is configured to receive the process gas from a gas source 128 and deliver the received gas to the processing region 125 through one or more openings 163 formed therein. Similarly, the second gas delivery element 182 comprises a fluid plenum 162 that is configured to receive the process gas from a gas source 129 and deliver the received gas to the processing region 125 through one or more openings 164 formed therein. The gas sources 128 and 129 are generally configured to provide one or more precursor gases and/or carrier gases that are used to deposit a layer on the surface of the substrates 101 via deposition process, such as a PECVD process.
[0022] In one example of a process performed in the process chamber 140, at least one of the gas sources 128 and 129 is configured to deliver a silicon-containing gas to the deposition sources 160A-160D. The silicon- containing gas may be selected from a group consisting of silane, disilane, chlorosilane, dichlorosilane, trichlorosilane, dibromosilane, trimethylsilane, tetramethylsilane, tridimethylaminosilane (TriDMAS), tetraethoxysilane (TEOS), triethoxyfluorosilarie (TEFS), silicon tetrachloride, silicon tetrabromide, 1 ,3,5,7-tetramethylcyclotetrasiloxane (T CTS), dimethyidiethoxy silane (DMDE), octomethylcyclotetrasiloxane (OMCTS), methyldiethoxysilane (MDEOS), bis(tertiary-butylamino)silane (BTBAS), or combinations thereof. The oxygen-containing gas may be selected from a group consisting of oxygen (02), nitrous oxide (N2O), ozone (O3), and combinations thereof. In one embodiment, the siiicon-containing gas is silane and the oxygen-containing gas is O2. The silicon-containing gas and the oxygen-containing gas may form a dielectric layer on the surface of the substrates 101 .
[0023] In another process sequence, such as processing performed in a first processing chamber 140, at least one of the gas sources 128 and 129 is configured to deliver a silicon-containing gas and nitrogen-containing gas to a deposition source 160A-160D. The nitrogen-containing gas may be, for example, diatomic nitrogen, nitrous oxide, or ammonia.
[0024] It is contemplated that in some embodiments, the gas sources 128 and 129 may be adapted to provide multiple precursor gases, either independently or simultaneously. In such an embodiment, the gases sources 128, 129 may be gas cabinets housing multiple precursor and/or carrier gas sources.
[0025] It is contemplated that any of deposition sources 16GA-160D may be configured to deliver other precursor gases in addition to those listed above, including an aluminum-containing gas. The deposition sources 160A- 180D, and the precursor gases provided thereto, may be used to facilitate the formation of a desired passivation layer stack deposition. It is also contemplated that more gas sources may be added to the chamber 140 to accommodate more types of gas delivery.
[0026] It is contemplated that each of the deposition sources 180A-180D may be adapted to deposit different film materials on the substrates 101 . For example, the deposition sources 160A-160D may be adapted to deposition one or more films of silicon dioxide, silicon nitride, aluminum oxide, aluminum nitride, and the like.
[0027] Figure 2 is a schematic sectional view of a deposition source, according to one embodiment of the invention. As shown in Figure 2, the first deposition source 160A includes the gas delivery element 181 , such as a nozzle, for introducing processing gas into the processing region 125. Gas delivery elements 182 (two are shown) are disposed adjacent to the gas delivery element 181 , and are adapted to deliver a second process gas to the processing region 125. Each of the gas delivery elements 181 , 182 are coupled to a gas source, such as the gas source 128 or 129. For example, the gas delivery element 161 may be coupled to the gas source 128, and the gas delivery element 182 may be coupled to the gas source 129.
[0028J The deposition source 160A also includes a housing 208 in which electrodes 210 are enclosed. The electrodes 210 may be coupled to a common power supply 131 (shown in Figure 1 B). In one embodiment, the power supply 131 is an AC power supply. The electrodes 210 are encircled by a target 283, such as silicon target, that protects the electrode from plasma erosion during deposition processes. The target 283 is a sacrificial material and may contribute to the formation of material on a substrate 101 via sputtering. In one example, the material formed on a substrate 101 includes less than 1 percent of materia! originating from the target 283. The target may also include materials other than silicon. In one example, the target composition may share a common element with a precursor gas. The target 283 may be secured using one or more tabs (not shown), and electrical insulators 288a, 288b disposed at upper and lower end of the target 283. The electrical insulators 286a, 288b may also facilitate electrical isolation of the electrode 210
[0029] A cooling block 284 is also disposed in the housing 208 and includes cooling passages 219a formed therein to facilitate cooling of deposition source components. A cooling jacket 285 having cooling passages 219b formed therein may also be disposed within the housing 208 to further enhance cooling. The gas delivery elements 182 include cavity portions 222 that are bound by a shield 223. Magnets 224 are disposed circumferentially around the shield 223 between the shield 223 and a portion of the housing 208. The magnets 224 may include permanent magnets or, alternatively, magnetrons. Additionally, magnet shunts 228 are present within the cavity portion 222 and coupled to the electrodes 210. The magnet shunts 228 are opposite polarity to the respective magnets 224. Collectively, the magnets 224 and the shunts 226 facilitate plasma formation, and shape a magnetic field that affects the deposition. The magnetic shunts may be enclosed or protected by a pole cover 287.
[0030] In one embodiment, power supply 131 is an alternating current power supply with a frequency range between 20 kHz to 500 kHz, such as 40 kHz. During operation, reactive and/or inert gases are supplied from a gas source, such as gas source 129, and introduced to the processing region 125 through the cavity portions 222. Simultaneously, a second gas is introduced to the processing region 125 through the gas delivery element 181 . The magnets 224 and magnet shunts 228 facilitate formation of plasma from process gases located in the processing region 125, thereby inducing deposition of material on a substrate located within the processing region 125.
[0031] The formation of the plasma adjacent the gas delivery elements 182 often undesirably results in film formation on surfaces of the deposition source 160A, particularly the shield 223 and the pole cover 227. The deposited film is subject to flaking off, especially when the film contains silicon nitride, which can negatively impact the performance of processed devices. While processing can be stopped after every deposition to remove the film from the shield 223, such a cleaning results in a significant reduction in production, and therefore, minimizing cleaning frequency is desirable. Figure 3 illustrates a method for reducing particle flaking while minimizing chamber cleaning frequency.
[0032] Figure 3 is a flow diagram illustrating a method 370 of controlling particle flaking, according to one embodiment of the invention. The method 370 begins at operation 371 , in which a substrate is transferred to a processing region adjacent a deposition source, such as processing region 125 adjacent deposition source 160A (shown in Figure 1 B). The substrate may be a solar cell substrate, such as a crystalline silicon wafer. Once the substrate is positioned in the processing region, a silicon-containing process gas and a nitrogen-containing process gas are introduced to the processing region in operation 372. in one example, a silicon-containing process gas, such as siiane, is introduced to the processing region through the gas delivery element 181 , while the nitrogen-containing process gas, such as ammonia, is Introduced to the processing region through the gas delivery element 182. While the nitrogen-containing process gas and the silicon containing process gas are introduced into the processing region, power is applied to the electrodes 210 and the magnets 224 to generate a plasma from the silicon- containing process gas and the nitrogen containing process. The resultant plasma facilitates deposition of a silicon nitride film on a surface of the substrate positioned within the processing region 125. Consequently, an undesirable silicon nitride film also deposits on components of the deposition source 160A, such as on surfaces of the shield 223.
[0033] In operation 373, the substrate having the silicon nitride film formed thereon is transferred from the processing region 125 on the conveyor 121 to the next processing location, such as the processing region 125 adjacent to the deposition source 160B. During the transfer of the substrate, and while the substrate is not located in the processing region 125 used for silicon nitride deposition, a silicon-containing process gas and an oxygen-containing process gas are introduced to the processing region 125 adjacent the deposition source 160A in operation 374. The silicon-containing process gas and the oxygen-containing process gas are ignited into a plasma to facilitate deposition of a silicon dioxide film over the silicon nitride film located on the components of the deposition source 160; e.g., over the silicon nitride layer deposited on the shield 223. The addition of silicon dioxide over the silicon nitride reduces the flaking of the silicon nitride film by providing a capping or sealing layer. Additionally, the silicon dioxide layer provides a smoother surface for subsequent silicon nitride layers to adhere to, thus further reducing the likelihood of flaking. Moreover, it is contemplated that oxygen from the plasma in operation 373 may partially oxidize the silicon nitride layer, additionally reducing the likelihood of the silicon nitride layer flaking by reducing the stress within the silicon nitride layer. Incorporation of oxygen into the silicon nitride film results in a more mechanically and thermodynamically stable film.
[0034] In some embodiments, it is desirable to avoid or mitigate the incorporation of oxygen into the silicon nitride film deposited on a substrate 101 , and thus, introduction of oxygen to the processing region 125 may only occur when the substrate 101 is absent from the processing region 125. However, it is also desirable to maximize substrate production, which occurs while the substrate is located within the processing region 125. In one example, a duty cycle for oxygen flow may be about 25 percent or less, such as about 10 percent or less, which provides for sufficient substrate throughput while minimizing particulate flaking.
[0035] In another embodiment, it is contemplated that operation 372 may additionally include introducing an oxygen-containing process gas to the processing region. Such an embodiment may be utilized when the film formed on the substrate 125 allows for incorporation of some oxygen, per film formation specifications. The flow of an oxygen-containing process gas while forming the silicon nitride film on the substrate results in oxygen being incorporated into the silicon nitride film that deposits on the surfaces of the deposition source. The incorporation of oxygen facilitates reduced flaking from deposition source components until the deposition source components are cleaned, for example, after 100 hours of continuous use. In such an embodiment, operation 374 may be omitted. In one example, nitrous oxide may be used as a precursor gas to provide both oxygen and nitrogen to the processing region 125, and thus, separate precursor gases for oxygen and nitrogen may not be necessary.
[0036] In yet another embodiment, it is contemplated that operations 371- 373 may be performed several times cyclically before performing operation 374. Thus, a silicon oxide coating layer need not be deposited on each individual silicon nitride layer formed on the deposition source components, thereby enhancing substrate throughput. In one example, operations 371 -373 may be performed 5, 10, 50 or more times before performing operation 374. Alternatively, operations 374 may be performed each time after operations 371 -373 are completed.
[0037J Figure 4 illustrates a film stack 450 deposited according to the method of Figure 3, according to one embodiment of the invention. The film stack 450 is illustrated as being disposed on a shield 233; however, it is to be understood that the film stack 450 may be formed on components other than the shield 233. The film stack includes alternating layers of silicon dioxide and silicon nitride. It is contemplated that the silicon dioxide layer could also include a non-stoichiometric silicon and oxygen-containing layer. Similarly, it is contemplated that the silicon nitride layer may include, for example, 8S3N4, or other stoichiometric or non-stoichiometric silicon and nitrogen-containing materials.
[0038] The stack includes a layer 451 of silicon nitride deposited on the shield 233. A layer 452 of silicon dioxide is deposited on the layer 451 to prevent flaking of the layer 451 . A layer 453 including silicon nitride is deposited on the layer 452. A layer 454 including silicon dioxide is deposited on the layer 453 to prevent flaking of the layer 453. A layer 455 including silicon nitride is deposited on the layer 454. A layer 458 including silicon dioxide is deposited on layer 455 to prevent flaking thereon. The layers 451 ,
453, and 455 may be deposited according to operation 372. The layers 452,
454, and 456 are deposited according to operation 374. The silicon dioxide layers 452, 454, 458 generally have uniform compositions of Si02, and facilitate reduced flaking of the silicon nitride layers 451 , 453, 455 thereunder. The silicon dioxide layers 452, 454, 456 may be deposited to a thickness between about 1 micron and about 5 microns, such as about 2 microns to about 3 microns.
[00391 Benefits of the present invention include a reduction in flaking of materials from chamber components, resulting in higher quality and better performing devices. The reduction in flaking extends the mean time between chamber cleanings, thus increasing substrate throughput.
[0040] While embodiments herein are described with respect to a particular deposition source, it is contemplated that deposition sources using other types of plasma generation, including inductively-coupled plasma (ICP) or microwave plasma, may benefit from embodiments described herein. Additionally, while embodiments herein are described with respect to a silicon oxide layer to reduce silicon nitride flaking, it is contemplated that other materials, such as amorphous silicon, may be used to reduce flaking of silicon nitride films. In such an example, a silicon-containing gas, such as silane, may be used to deposit the amorphous film over the silicon nitride. Additionally, it is contemplated that embodiments herein may be utilized to prevent films other than silicon nitride.
[0041] While the foregoing is directed to embodiments of the present invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims

We Claim:
1 . A method for reducing flaking from chamber components, comprising:
(a) transferring a substrate to a processing region within a chamber;
(b) depositing a silicon nitride layer on the substrate, wherein during deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber;
(c) transferring the substrate from the processing region; and
(d) while the substrate is absent from the processing region, depositing a silicon oxide layer over the silicon nitride layer deposited on components within the chamber.
2. The method of claim 1 , wherein the silicon nitride layer and the silicon oxide layer are deposited using plasma-enhanced chemical vapor deposition.
3. The method of claim 1 , wherein the depositing the silicon nitride layer includes introducing a silicon-containing gas and an nitrogen-containing to the processing region, and igniting the silicon-containing gas and the nitrogen- containing gas into a plasma.
4. The method of claim 3, wherein the depositing the silicon oxide layer includes introducing a silicon-containing gas and an oxygen-containing to the processing region, and igniting the silicon-containing gas and the oxygen-containing gas into a plasma.
5. The method of claim 4, wherein the silicon-containing gas includes one or more of silane, disilane, chlorosilane, dichlorosilane, trichlorosilane, dibromosilane, trimethylsilane, tetramethylsilane, tridimethylaminosilane, tetraethoxysilane, triethoxyf!uorosilane, silicon tetrachloride, silicon tetrabromide, 1 ,3,5,7- tetramethylcyclotetrasiloxane , dimethyldiethoxy silane octomethylcyclotetrasiloxane , methyldiethoxysilane , bis(tertiary-butylamino)silane.
8. The method of claim 4, wherein the nitrogen-containing gas includes one or more of diatomic nitrogen and ammonia.
7. The method of claim 4, wheresn the oxygen-containing precursor includes diatomic oxygen, ozone, or nitrous oxide.
8. The method of claim 1. comprising performing operations (a)-(c) multiple times before performing operation (d).
9. The method of claim 1 , wherein the duty cycle between operation (d) and operation (b) is about 10 percent or less.
10. The method of claim 1 , wherein the chamber is disposed within an in-line processing system.
1 1 . The method of claim 1 , wherein the duty cycle between operation (d) and operation (b) is about 25 percent or less.
12. A method for reducing flaking from chamber components, comprising:
transferring a substrate to a processing region within a chamber;
depositing a silicon nitride layer on the substrate, comprising:
introducing silicon, nitrogen, and oxygen into the process chamber, wherein during deposition of the silicon nitride layer on the substrate, silicon nitride material is also deposited on components within the chamber, and the silicon nitride material deposited on the components includes oxygen therein; and
transferring the substrate from the processing region.
13. The method of claim 12, wherein the chamber is disposed within an in-line processing system.
13. The method of claim 12, wherein introducing silicon, nitrogen, and oxygen into the process chamber comprises introducing nitrous oxide and a silicon- containing precursor into the chamber.
14. The method of claim 13, wherein the silicon containing-precureor is silane or disilane.
PCT/US2014/037242 2014-01-17 2014-05-08 In-line chamber coating to control particle flaking WO2015108558A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW104101538A TW201539578A (en) 2014-01-17 2015-01-16 In-line chamber coating to control particle flaking

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461928848P 2014-01-17 2014-01-17
US61/928,848 2014-01-17

Publications (1)

Publication Number Publication Date
WO2015108558A1 true WO2015108558A1 (en) 2015-07-23

Family

ID=53543303

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2014/037242 WO2015108558A1 (en) 2014-01-17 2014-05-08 In-line chamber coating to control particle flaking

Country Status (2)

Country Link
TW (1) TW201539578A (en)
WO (1) WO2015108558A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109852940A (en) * 2017-11-16 2019-06-07 三星电子株式会社 Sputtering equipment and its operating method
CN110062950A (en) * 2016-12-06 2019-07-26 应用材料公司 Particle decrement in physical vapor deposition chamber
CN110835728A (en) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 9-cavity horizontal PECVD-PVD integrated equipment for solar cell manufacturing
JP7393538B2 (en) 2019-10-25 2023-12-06 アプライド マテリアルズ インコーポレイテッド Extreme ultraviolet mask blank defect reduction method

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5589233A (en) * 1993-12-28 1996-12-31 Applied Materials, Inc. Single chamber CVD process for thin film transistors
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
WO2010113928A1 (en) * 2009-03-31 2010-10-07 東京エレクトロン株式会社 Method for forming silicon nitride film, method for manufacturing semiconductor memory device, and plasma cvd apparatus
US8138103B2 (en) * 2006-05-31 2012-03-20 Tokyo Electron Limited Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5589233A (en) * 1993-12-28 1996-12-31 Applied Materials, Inc. Single chamber CVD process for thin film transistors
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US20060093756A1 (en) * 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US8138103B2 (en) * 2006-05-31 2012-03-20 Tokyo Electron Limited Plasma CVD method, method for forming silicon nitride film and method for manufacturing semiconductor device
WO2010113928A1 (en) * 2009-03-31 2010-10-07 東京エレクトロン株式会社 Method for forming silicon nitride film, method for manufacturing semiconductor memory device, and plasma cvd apparatus

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110062950A (en) * 2016-12-06 2019-07-26 应用材料公司 Particle decrement in physical vapor deposition chamber
CN110062950B (en) * 2016-12-06 2023-02-17 应用材料公司 Particle abatement in physical vapor deposition chambers
CN109852940A (en) * 2017-11-16 2019-06-07 三星电子株式会社 Sputtering equipment and its operating method
CN109852940B (en) * 2017-11-16 2022-08-26 三星电子株式会社 Sputtering apparatus and method of operating the same
CN110835728A (en) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 9-cavity horizontal PECVD-PVD integrated equipment for solar cell manufacturing
JP7393538B2 (en) 2019-10-25 2023-12-06 アプライド マテリアルズ インコーポレイテッド Extreme ultraviolet mask blank defect reduction method

Also Published As

Publication number Publication date
TW201539578A (en) 2015-10-16

Similar Documents

Publication Publication Date Title
KR102443854B1 (en) Minimizing radical recombination using ald silicon oxide surface coating with intermittent restoration plasma
US8178448B2 (en) Film formation method and apparatus for semiconductor process
TWI806214B (en) Abatement system, vacuum processing system, and method for cooling composition
KR102634196B1 (en) Graded in-situ charge trapping layers to enable electrostatic chucking and excellent particle performance for boron-doped carbon films
KR20170125732A (en) Method to deposit conformal and low wet etch rate encapsulation layer using pecvd
KR101774086B1 (en) Film deposition method and storage medium and film deposition apparatus
US20070065578A1 (en) Treatment processes for a batch ALD reactor
KR20150079470A (en) Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR20150139774A (en) Back side deposition apparatus and applications
WO2013070438A1 (en) Precursor distribution features for improved deposition uniformity
KR102514466B1 (en) Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
WO2015108558A1 (en) In-line chamber coating to control particle flaking
JP2005123532A (en) Deposition system and deposition method
KR102405729B1 (en) Geometric Selective Deposition of Dielectric Films Using Low Frequency Bias
TWI733021B (en) Plasma source assembly, processing chamber, and method of processing substrate
KR101674251B1 (en) Method of operating vertical heat treatment apparatus, vertical heat treatment apparatus and recording medium
US20230238223A1 (en) Carrier rings with radially-varied plasma impedance
KR20160062370A (en) Method of fabricating semiconductor device
WO2021262585A1 (en) Multi-station processing tools with station-varying support features for backside processing
WO2015122977A1 (en) Extended precursor gas injection method
CN110494950A (en) The high deposition rate high quality silicon nitride realized by long-range nitrogen free radical source
KR20180110601A (en) Film forming method and vertical thermal processing apparatus
WO2015108548A1 (en) Electrical contact method between fixed electrode and removable target piece
JP2023003828A (en) Film deposition apparatus and film deposition method

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 14878630

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 14878630

Country of ref document: EP

Kind code of ref document: A1